Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

Extra Form
참고/링크 https://pc.watch.impress.co.jp/docs/news/1340262.html

001_o.jpg

 

Intel Accelerates를 통해 인텔이 반도체 제조 기술 관련 정보를 공개했습니다. 여기서 인텔은 새로운 공정의 이름과 2025년까지의 반도체 기술 로드맵을 공개했습니다. 

 

intel-process-technology-innovations-timeline-16x9.jpg.rendition.intel_.web_.1920.1080.jpg

 

지금까지는 10nm, 7nm처럼 반도체 게이트의 길이(트랜지스터 스위치 부분의 물리적인 길이, 선폭)으로 제조 공정 세대(프로세스 노드)를 표기했습니다. 하지만 앞으로는 이름을 붙입니다. 10nm Enhanced Super Fin을 인텔 7, 7nm 공정에 인텔 최초의 EUV 공정은 인텔 4, 이후에는 인텔 3, 인텔 20A, 인텔 18A를 2025년까지 순서대로 출시합니다. 

 

2024년에 나올 인텔 20A는 리본FET과 파워 Via라는 새로운 기술을 도입하며, 이를 통해 2025년에는 제조 공정의 선두를 차지할 거라고 자신했습니다. 또 2.5D의 EMIB, 3D 포베로스 외에도 포베로스의 확장인 포베로스 옴니, 포베로스 다이렉트 같은 새로운 패키징 기술을 도입한다고 밝혔습니다. 

 

 

제조 공정의 세대를 게이트 길이에서 독자적인 이름으로 변경

 

지금까지 반도체 업계에서는 제조 기술을 설명할 때, 반도체의 최소 단위인 트랜지스터의 스위치 회로인 게이트의 길이를 표기했습니다. 쉽게 말해 10nm 공정은 게이트 길이가 10nm라서 그렇습니다. 회로 선폭이라고도 하지요. 정확히 길이가 저렇다는 게 아니라 저 길이에 해당된다는 식으로 쓰는 경우도 있지만요. 하여간 게이트가 짧아질수록 트랜지스터의 크기도 작아지기에, 같은 크기의 다이에 더 많은 트랜지스터를 넣을 수 있습니다.

 

003_o.jpg

 

기존의 2D 구조 트랜지스터

 

004_o.jpg

 

3D 구조의 FinFET. 이렇게 트랜지스터의 구조가 바뀌면서 게이트 길이만으로 성능을 표기하기가 어려워졌습니다. 

 

인텔은 자신들의 공정이 다른 회사의 공정보다 1세대 이상 더 높은 성능을 제공한다고 주장해 왔습니다. 인텔 14nm는 다른 회사의 10nm 수준이란 소리죠. 그래서 게이트 길이만 가지고 성능을 비교하기 어렵다는 게 인텔의 설명입니다. 

 

Intel-Process-Roadmap-Intel-7-Intel-4-Intel-3-Intel-20A-_5.jpg

 

그래서 인텔은 게이트 길이가 아니라 독자적인 브랜드로 공정을 표시하기로 했습니다. CPU를 더 이상 클럭으로 구분하지 않고 모델명을 도입한 것과 똑같다고 보시면 되겠습니다. 그래서 나온 것이 인텔 7, 인텔 4, 인텔 3, 인텔 20A, 인텔 18A입니다.

 

006_o.jpg

 

인텔은 2021년 후반에 10nm Enhanced SuperFin이라는 차세대 프로세스 노드를 출시할 계획이었으나, 이걸 인텔 7이라는 이름으로 바꿉니다. 다른 회사의 7nm 공정 수준임을 어필하기 위해서라고 보입니다. 인텔 7은 기존의 10nm 슈퍼핀보다 전력 당 성능이 10~15% 정도 개선됩니다. 

 

007_o.jpg

 

인텔 7은 알더레이크와 사파이어 라피드에 사용합니다.

 

008_o.jpg

 

인텔 4는 메테오 레이크와 그래닛 라피드를 만듭니다.

 

009_o.jpg

 

메테오 레이크의 컴퓨팅 타일은 테이프 인 단계에 접어들었습니다. 생산 가능한 수준까지 왔다는 소리죠.

 

010_o.jpg

 

2022년 후반기에 생산이 시작돼 2023년에 출시되는 제품은 인텔 4를 사용합니다. 인텔 4는 인텔이 7nm 공정으로 개발한 것으로 인텔 최초의 EUV 도입 공정이기도 합니다. 인텔 7보다 평균 20%의 전력 당 성능 개선이 이루어지며, 2023년에 출시될 예정인 클라이언트 제품인 메테오 레이커, 데이터센터를 위한 그래닛 라피드에 사용합니다. 

 

011_o.jpg

 

2023년에는 인텔 4의 후속작인 인텔 3이 나옵니다. FinFET에 최적화를 더하고 EUV를 강화해 인텔 4에서 전력 당 성능이 18% 향상됩니다. 인텔 3은 2023년 하반기에 출시되는 제품부터 사용합니다.

 

 

013_o.jpg

 

2024년에는 인텔 20A가 나옵니다. 리본 FET, 파워 VIA라는 새로운 기술을 사용합니다.

 

014_o.jpg

 

리본FET는 FinFET를 더 강화한 3D 트랜지스터 기술로, FinFET과 같은 스위칭 속도와 전력 소비량을 유지하면서 차지하는 면적을 줄여줍니다.

 

015_o.jpg

 

파워 Via는 칩의 뒷면에 전원을 공급하는 Via를 집중시켜 노이즈를 줄이고 신호 품질을 향상시킵니다.

 

016_o.jpg

 

기존의 전원 Via는 구멍이 위에서 아래까지 이어졌으나-

 

017_o.jpg

 

파워 Via는 반도체 아래에만 구멍이 집중됩니다.

 

018_o.jpg

 

인텔 20A를 사용하는 첫 고객은 퀄컴입니다. 인텔 파운드리 서비스에서 퀄컴의 칩을 만들기로 계약했습니다. 

 

2025년에는 인텔 18A를 출시합니다. 리본FET를 개선해 성능을 더 높입니다. 또 2세대 EUV인 High NA EUV 등을 도입할 예정이며, ASML 같은 장비 제조사와 협력해 개발을 계속해 나갈 예정입니다. 

 

인텔 팻 겔싱어 CEO는 앞으로 4년 간 5세대의 공정을 출시하고, 2025년에는 우리의 기술에 아무도 의문을 품지 못하게 선두를 차지하겠다고 밝혔습니다. 

 

 

3D 패키징 기술의 발전

 

020_o.jpg

 

차세대 EMIB는 40마이크론의 더 작은 범프 피치를 지원합니다.

 

021_o.jpg

 

메테오 레이크의 포베로스 패키징은 범프 피치가 36마이크론으로 줄어들며 TDP 5~125W까지 지원합니다.

 

019_o.jpg

 

포베로스 옴니는 다이와 다이 사이를 연결하는 인터커넥트와 모듈 디자인을 도입합니다. 이걸로 다른 공정으로 만든 다이를 패키징할 수 있습니다. 

 

022_o.jpg

 

포베로스 다이렉트는 범프 피치를 10마이크론 아래로 줄여 고밀도 3D 다이 스택을 만듭니다.

 

023_o.jpg

 

포베로스 옴니와 포베로스 다이렉트는 2023년부터 출시됩니다. 아마존 웹 서비스가 첫 고객입니다. 

 

024_o.jpg

 

올해 말까지 북미와 유럽에 새로운 공장을 건설합니다.



  • ?
    yamsengyi 2021.07.27 12:09
    다른공정을 합친다는게 무슨의민지 몰랐었는데 저걸보고 한방에 이해했네요.
  • ?
    MUSCLE 2021.07.27 12:49
    이런거보면 반도체 공룡이 대단하긴 한것 같아요.. 실물이 나와봐야알겟지만..

    예정대로 26일 7나노급 공정에대한 발표도 일단 약속을 지킨것 같구요..
  • ?
    FranzLiszt 2021.07.27 13:13
    와! 옹스트롬 시대!
  • profile
    슬렌네터      Human is just the biological boot loader for A.I. 2021.07.27 13:34
    설마 CPU 내부 냉납현상 발생하는건 아니겟죠!?
  • profile
    헥사곤윈      Close the World, Open the nExt 2021.07.27 14:02
    오! 이제 뚜따하고 코어에 다림질하면 되는건가요!
  • profile
    title: 저사양0.1      글 못쓰는 문과 / 딜을 넣읍시다 딜 2021.07.27 15:15
    그럼 코어가 뒈짓
  • profile
    헥사곤윈      Close the World, Open the nExt 2021.07.27 15:38
    코어 : 가..가버렷!!
    유저: 암드로.. 가버렷!!
  • profile
    title: 저사양0.1      글 못쓰는 문과 / 딜을 넣읍시다 딜 2021.07.27 16:10
    아뇨 그거 말고..
  • profile
    단또 2021.07.27 13:55
    인텔 화이팅
  • profile
    title: 흑우Moria 2021.07.27 14:32
    인텔붐은 온다...!
  • ?
    Cyper 2021.07.27 15:08
    인텔아 혀가 길다...
  • profile
    캐츄미      5700g, 5800x, 5950x 2021.07.27 15:32
    옛날에 CPU에서 Hz 빼던 인텔이 생각나네요
  • profile
    냐아      (대충 좋은 소리) 2021.07.27 15:33
    20A가 뭔가 했더니 옹스트롬이네요. 단위를 써주셨으면 감사하겠습니다.

    1A은 대략적으로 수소원자 지름의 크기, 0.1nm에요.
  • profile
    밤하늘 2021.07.27 17:53
    Å 주문하신 옹스트롬 나왔습니다.
  • ?
    이계인 2021.07.28 01:19
    단위를 빼버린건 인텔인데요 저 A는 그저 브랜드상 네임이고ㅋㅋ
  • profile
    TundraMC      자타공인 암드사랑/GET AMD, GET MAD. Dam/컴푸어 카푸어 그냥푸어/니얼굴사... 2021.07.27 15:45
    암드 공매도하고 인텔 풀매수합니다
  • ?
    yamsengyi 2021.07.27 16:57
    ??? : 시타델 돈갚아라!
  • ?
    늘보 2021.07.27 15:45
    저 파워via 기술에 대해 좀더 자세하게 알아보고 싶네요.. 보통 VIA라고 하면
    M1,M2 via 라고해서 메탈배선간 통로를 의미하는데.. 노이즈 발생이 생긴다는건 이해가 충분히 가는 부준인데.. 반도체와 연결되었다라… Co barrier 나 TiN barrier 는 너무 기존 기술이고… 신규 연구기술이래봐야 Ta 탄탈륨 배리어 정도? 이것도 업계사람들은 왠만해서 아는 아는내용..
    구조적인건가..
    흠.. 좀더 알아봐야겠어요..
  • ?
    quapronuet 2021.07.27 16:21
    https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros/3
    구조적으로 전원 관련 와이어/via들을 따로 빼서 반대편에 구현해서 간섭을 줄이는 방식입니다.
    뭐 당연하지만 아이디어 자체는 이미 있었던 모양이네요.
  • ?
    늘보 2021.07.28 07:32
    backside tft는 연구소에서 소자 만들때 쉽게 테스트용으로 자주 쓰입니다.
    p-dope Si 도 전압 걸면 거의 도체라서요
    문제는 성능이 안나와서 결국 마스크 써서 top gate가는데..
    저넘은 TSV를 통해 전력만 아래로 빼네요.. 인텔 에칭 엔지니어들 곡소리 여기까지 들릴듯…
  • ?
    이계인 2021.07.28 01:31
    레이어 에칭순서를 바꿔서 전기적 안정성을 주는 방식입니다 제일 미세레이어부터 쌓는게 전통적이라 그려러니 했는데 m0 쌓기전에 파워레이어 몇층 올리고 시작하는게 전체 반도체 생산비 대비 의미있는 수준이 아니라서 먼저 m0에 다이렉트로 꽂히는 벌크 파워레이어를 쌓아주는 방식이에요 근데 위의 발표자료에선 층을 거꾸로 쌓은것처럼 그려져있네요
  • ?
    늘보 2021.07.28 02:59
    오! 감사합니다!
  • profile
    설레이는북극곰 2021.07.28 04:10
    이면조사 CMOS 이미지센서들의 제조법을 응용 하는듯 보이는데요. 뒤집고서 die 얇게끔 갈아내고 에칭 뚫어서 nano TSV 통해서 전력 공급 다층구리배선 또 만드는거 아닌지요? backside power delivery combined with Buried Power Rails 이거랑 거진 다를바없는 듯~
  • ?
    늘보 2021.07.28 07:08
    https://youtu.be/XnR9j7ljAx4
    영상보니 말씀이 맞는거 같네요
    실험실에서 topgate TFT 만들기 어려워서 반도체 소자만 증착하고 소스 드레인 만 얹어서
    backside si쪽에 사포로 긁어서 Backgate TFT 만들었었는데…
    양면 공정이라니.. 엔지니어랑 돈이랑 장비회사랑 다 죽어나겠네요
  • ?
    이계인 2021.07.28 11:50
    아난드텍 슬라이드 보시면 갈아서 에칭낸게아니라 구리배선 넣고 그위에 M0 올린것처럼 보이네요
  • profile
    량아 2021.07.27 15:52
    앞으로 그냥 집적도로 체크해야하나... 서로 자기가 더 발전했다고 주장하니
  • ?
    NPU 2021.07.27 16:10
    인텔은 GAA를 리본fet으로 부르는군용
  • ?
    1N9 2021.07.27 16:26
    요약하면…

    우리 10nm 선폭이 니네 7nm보다 좁으니 이제 우리도 7이라고 부를거임 ㅎㅎ nm 붙이면 헷갈리니 숫자만 붙이겠음

    이건가요? 뭐 기술력이 있는 회사이니 기대는 됩니다만 타 파운드리 공정 뭐라할땐 언제고…
  • profile
    MA징가 2021.07.27 16:32
    사람은 굶어봐야 재정신이 돌아오나 봅니다.
    AMD도 그랬죠... +_+
  • profile
    사과청      R7 5700X / 32GB / RTX4070Ti 2021.07.27 17:14
    얘들 이상하게 설명이 간절하게 느껴지는게...
    인텔 매수 해야되나
  • profile
    니아옹이 2021.07.27 21:47
    이거 뭐 안 좋은 정보가 유출되었는지 지금 주식 꼬라박고 있음.
  • profile
    소망노인복지센터 2021.07.27 22:28
    갤싱어 아조씨 요즘 무지 바쁘시군요
  • profile
    qua1121      대학원 후 스타트업의 길을 걷습니다. 날 죽여줘... 2021.07.27 23:43
    기존 제품 공급 계약도 못 지키는 상황이라 그닥 기대되진 않네요.
  • ?
    마라톤 2021.07.28 07:27
    좋은 정보 감사합니다. ^_^
  • ?
    BOXU 2021.08.02 12:03
    10같은 14, 7같은 10, 4같은 7

작성된지 4주일이 지난 글에는 새 코멘트를 달 수 없습니다.


  1. Intel Accelerates. 새로운 공정 이름 도입, 패키징 기술 발표

    Intel Accelerates를 통해 인텔이 반도체 제조 기술 관련 정보를 공개했습니다. 여기서 인텔은 새로운 공정의 이름과 2025년까지의 반도체 기술 로드맵을 공개했습니다. 지금까지는 10nm, 7nm처럼 반도체 게이트의 길이(트랜지스터 스위...
    Date2021.07.27 소식 By낄낄 Reply35 Views3166 file
    Read More
  2. 인텔, 10nm 슈퍼핀을 타이거레이크 생산에 사용

    10nm 슈퍼핀을 설명하는 인텔 아키텍처/그래픽/소프트웨어 아키텍트 책임자 겸 인텔 부사장, 라자 코두리 인텔은 아키텍처 데이 2020이라는 가상 이벤트를 8월 13일에 공개했습니다. 인텔 아키텍처 데이는 2018년 12월에 1회가 개최된 이...
    Date2020.08.14 소식 By낄낄 Reply4 Views1689 file
    Read More
  3. ASML, 2018년에 250만장의 EUV 웨이퍼 생산. 새 기계는 1시간에 170장 처리

    IEDM 2019에서 세계 최대의 EUV 기계 제조사인 ASML이 발표한 통계입니다. 2018년 1월 이후 EUV를 사용해 생산한 웨이퍼 수가 2011~2017년 사이에 생산된 것보다 더 많다네요. 2018년엔 250만장, 2019년엔 총 450만장 예상입니다. 올해는...
    Date2019.12.19 소식 By낄낄 Reply1 Views3422 file
    Read More
  4. 5nm 세대 이후의 차세대 배선 기술

    인텔 10nm 공정의 새로운 재료. 코발트 https://gigglehd.com/gg/2125057 이 글의 더욱 자세한 내용입니다. 5nm 세대 이후 차세대 배선 기술의 세부 사항과 2nm 세대 이후 궁극적인 배선 기술이 드러나고 있습니다. 마이크로 프로세서와 ...
    Date2017.12.18 소식 By낄낄 Reply16 Views7686 file
    Read More
  5. 3nm 로직 양산을 노리는 EUV 리소그래피의 고NA 화 기술

    차세대 반도체 미세 가공 기술인 EUV (Extreme Ultra-Violet) 리소그래피 기술 개발 로드맵이 명확해졌습니다. 빠르면 7nm 세대부터 양산에 채용되고, 최소한 3nm 세대까지 미세화를 견인해 나갑니다(고 NA로 3nm 세대의 초 고난도 제조를...
    Date2017.04.06 분석 By낄낄 Reply11 Views9927 file
    Read More
  6. 고 NA로 3nm 세대의 초 고난도 제조를 노리는 EUV 노광 기술

    2017년의 첨단 반도체 로직(프로세서와 SoC)는 10nm 세대의 본격적인 양산에 돌입합니다. 차세대에 해당하는 7nm 세대의 양산은 2018년에 시작하여 2019년~2020년에 양산이 본격화 될 것으로 예상됩니다. 그 다음 세대인 5nm 세대의 양산 ...
    Date2017.03.29 소식 By낄낄 Reply3 Views3098 file
    Read More
  7. TSMC의 5nm 공정까지 기술 전망

    TSMC가 ISSCC에서 공정 기술의 미래를 전망 5nm 공정까지의 반도체 공정 기술이 어떻게 되는지. 첨단 프로세스의 상황을 TSMC가 ISSCC (IEEE International Solid-State Circuits Conference)에서 강연으로 발표했습니다. TSMC는 CPU 나 G...
    Date2017.02.08 분석 By낄낄 Reply1 Views3407 file
    Read More
  8. 양 극단으로 나뉜 IBM과 TSMC의 반도체 제조 기술

    최첨단 반도체 양산 기술은 현재 16nm / 14nm 세대입니다. 2016년에는 차세대인 10nm 세대의 초기 생산이 시작됩니다. 또한 그 다음 세대는 7nm 세대로 반도체 제조 기술의 선도 기업들이 적극적으로 개발을 진행하고 있습니다. 미국 캘리...
    Date2016.12.09 소식 By낄낄 Reply5 Views2265 file
    Read More
  9. 2016년 파운드리 제조사 순위 예측

    IC 인사이트가 2016년의 파운드리 제조사 순위를 예측했습니다. 올해가 아직 끝나지 않았으니 예측이지요. 1, 2분기 실적은 포함이 됐을 듯 하지만. 이 10위 명단에 든 곳이 전세계 파운드리 사업의 95%를 차지하며, 그 중 상위 4개 회사...
    Date2016.08.30 소식 By낄낄 Reply1 Views1196 file
    Read More
목록
Board Pagination Prev 1 Next
/ 1

최근 코멘트 30개
렉사
17:12
별밤전원주택
17:08
Iwish
17:08
별밤전원주택
17:08
milsa
17:07
어그로글댓글보면짖음
17:06
joyfuI
17:04
MA징가
16:45
카에데
16:42
ducking
16:41
MA징가
16:40
까르르
16:38
leesoo
16:38
leesoo
16:34
카에데
16:33
leesoo
16:32
리키메
16:30
leesoo
16:29
leesoo
16:27
별밤전원주택
16:25
카토메구미
16:24
딱풀
16:23
leesoo
16:19
leesoo
16:18
하드매냐
16:12
별밤전원주택
16:11
카토메구미
16:11
Keith
16:07
이게뭘까
16:01
조마루감자탕
16:00

AMD
더함
한미마이크로닉스
MSI 코리아

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소