Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

  1. 인텔 4 공정의 세부 정보, 밀도 2배, 성능 20% 향상

    인텔 4 공정은 인텔 7nm EUV 공정입니다. 인텔의 첫번째 EUV 공정이기도 합니다. 인텔은 3대 반도체 팹 중에서 가장 늦게 EUV를 도입했습니다. 10nm까지 DUV만 사용했다는 소리이기도 합니다. 삼성이 EUV의 도입을 서두른데 비해 인텔은 ...
    Date2022.06.14 소식 By낄낄 Reply6 Views3514 file
    Read More
  2. TSMC, 2025년의 2nm 공정에 나노시트 트랜지스터 사용

    나노시트는 게이트가 플로팅 트랜지스터 핀을 둘러싸는 GAAFET 트랜지스터의 일종입니다. TSMC는 2025년부터 생산하는 2nm 공정에 이 나노시트를 사용합니다. 또 그 후를 위해서 포크시트나 CFET 같은 기술을 연구하고 있습니다. CEFT는 n...
    Date2022.06.10 소식 By낄낄 Reply0 Views873 file
    Read More
  3. ASML High-NA 개발 상황

    ASML이 더 높은 개구율(NA)를 지닌 노광기 개발 상황을 업데이트했습니다. 현재 최첨단 공정 13nm 해상력을 내는 0.33 NA의 EUVA 리소그래피 시스템인 ASML 트윈스캔 NXE:3400C와 그 시리즈를 사용하는 5/4nm 공정입니다. 13nm의 해상도는...
    Date2022.05.27 소식 By낄낄 Reply6 Views2496 file
    Read More
  4. imec의 로드맵. 2036년까지 1nm 이하 공정

    반도체 기술 연구 기관인 imec가 1nm 이하 실리콘/트랜지스터 로드맵을 공개했습니다. 3nm까지는 표준 FinFET 트랜지스터가 계속되며 2nm와 A7(7옹스트롬, 단위가 나노미터에서 옴스트롬으로 넘어갑니다. 10옹스트롬은 1nm)까지는 GAA(게...
    Date2022.05.23 소식 By낄낄 Reply5 Views1246 file
    Read More
  5. No Image

    UMC의 22~23년 생산량이 꽉 참

    UMC는 2017년에 10nm와 그 이하 공정의 연구 개발에서 철수한다고 발표했고, 14/12nm 공정에서도 매출을 내지 못하고 있습니다. 하지만 최근 수요가 늘어나면서 14/12nm를 만들어 달라는 요청도 받고 있다네요. 한편으로는 22nm와 28nm 공...
    Date2022.05.08 소식 By낄낄 Reply0 Views843
    Read More
  6. No Image

    TSMC, 가격 인하는 없다

    TSMC CEO는 시장 상황을 반영하기 위해 가격을 조정-그러니까 인하할 생각이 있느냐는 질문에, 우리의 가격 전략은 기회주의적이거나 단기적인 것이 아니라 전략적으로 이루어지며, 고객들은 우리의 노력을 이해한다고 답했습니다. 바꿔 ...
    Date2022.04.20 소식 By낄낄 Reply2 Views1601
    Read More
  7. No Image

    TSMC, 2026년에 2nm 칩을 출시

    TSMC의 CEO는 N2 노드가 처음 계획했던대로 GAA 트랜지스터를 사용한다고 밝혔습니다. 또 개구율 0.33의 기존 EUV 리소그래피 장비를 씁니다. 2024년 말에 시험 생산에 들어가 2025년 말에 대량 생산, 2026년에 첫 제품이 나옵니다. TSMC...
    Date2022.04.16 소식 By낄낄 Reply4 Views823
    Read More
  8. No Image

    삼성전자, 6세대 D램 조기개발…'퀀텀점프' 노린다

    삼성전자가 오는 6월까지 10나노 초반대 D램인 1c(6세대·11~12나노급) 개발을 성공적으로 마무리한다는 목표를 설정한 것으로 확인됐다. 1b(5세대·12~13나노급) 개발에 대한 '기술력 우려'를 깔끔히 지우는 동시에 ...
    Date2022.04.15 소식 By낄낄 Reply21 Views1783
    Read More
  9. No Image

    '나노의 벽' 부딪힌 삼성… "차세대 D램 개발 궤도수정"

    삼성전자에서 반도체 선행개발을 담당하는 반도체연구소는 최근 10나노 초반대 D램인 1b에 대한 연구개발(R&D)을 중도 포기한 것으로 확인됐다. 지난해 하반기 1b D램 전담팀(TF)까지 신설해 프로젝트에 대한 의지를 보였지만 결국 &#...
    Date2022.04.13 소식 By낄낄 Reply24 Views3572
    Read More
  10. No Image

    구형 반도체 제조 공정은 당분간 가격 인상이 없음

    2020년 이후 6분기 연속으로 파운드리의 반도체 생산 가격이 올랐습니다. 하지만 구형 공정의 경우 당분간은 가격을 인상하지 않을 거란 소식이 나왔습니다. 지금까지는 수요가 몰리는데 공급이 이를 따라가지 못하니까 가격을 올릴 수 있...
    Date2022.04.12 소식 By낄낄 Reply0 Views988
    Read More
  11. No Image

    인텔 4nm 공정 하반기에 양산, EUV 장비 설치

    올해 1월 말에 인텔은 팹 34에 리소그래피 레지스트 트랙을 설치했습니다. 하지만 그 때는 EUV 노광기가 아니지요. EUV 노광기는 지금 설치하고 있으며 하반기에 양산할 준비를 마친다고 합니다. 인텔은 이 장비를 사용해 4nm에 해당하는 ...
    Date2022.04.10 소식 By낄낄 Reply0 Views610
    Read More
  12. 인텔 18A 공정이 반년 앞당겨짐

    인텔은 원래 2026년 초에 18A 공정의 양산을 시작할 계획이었으나, 이를 반년 앞당겨 2024년 하반기부터 양산을 시작할거라고 합니다. 인텔 18A 공정은 파운드리 서비스도 개방합니다. 이미 주문을 받았다고 하는데 그게 누구인지는 밝히...
    Date2022.03.16 소식 By낄낄 Reply6 Views2560 file
    Read More
  13. No Image

    인텔 18A 공정, 고객 확보

    인텔은 현재 인텔 7 공정을 양산 중이며 앞으로 인텔 4 공정과 이를 개선한 인텔 3을 내놓을 예정입니다. 한편으로는 이보다 더욱 발전한 인텔 20A와 18A를 2024년에 출시할 계획이지요. 인텔 3과 18A 공정의 경우 이를 활용한 파운드리 ...
    Date2022.03.09 소식 By낄낄 Reply0 Views630
    Read More
  14. No Image

    인텔 7 공정에서 제조 원가 30% 절감

    인텔 7로 이름을 바꾼 인텔의 10nm 공정은 생산이 어렵고 제조 원가가 비싸 경쟁력이 매우 떨어졌습니다. 하지만 최근 실적 회의에서 인텔은 인텔 7 공정의 제조 원가를 30% 가량 낮췄다고 밝혔습니다. 12세대 코어 프로세서는 인텔 7 공...
    Date2022.01.30 소식 By낄낄 Reply10 Views1541
    Read More
  15. No Image

    UMC 가격 인상, 28nm 생산 단가가 TSMC보다도 비쌈

    14nm 이하의 선진 공정은 TSMC가 압도적인 힘을 발휘하고 있으나, 자동차를 비롯한 적지 않은 분야는 여전히 28nm 이상 공정에 머물러 있습니다. UMC는 자동차에 탑재되는 칩을 주로 생산하는 파운드리 중 하나로, TSMC와 삼성에 이어 세...
    Date2021.12.24 소식 By낄낄 Reply1 Views1154
    Read More
  16. TSMC, N4X 고성능 공정 발표

    TSMC가 N4X라는 이름의 고성능 공정을 발표했습니다. 5nm 공정 기반에 최고의 성능과 최고의 클럭을 내기 위해 높은 전류와 강력한 전원 공급이 가능하도록 튜닝된 제조 공정입니다. 1.2V에서 N5보다 15% 빠르거나 N4P보다 4$ 빠른 성능...
    Date2021.12.17 소식 By낄낄 Reply6 Views1267 file
    Read More
  17. TSMC의 고객 매출 순위, 23년에는 인텔이 치고 올라올 것

    TSMC의 파운드리를 쓰는 고객들의 순위입니다. TSMC 매출에 얼마나 큰 비중을 차지하는지 1위부터 10위까지 정리했습니다. 1. 애플 25.93% 2. 미디어텍 5.8% 3. AMD 4.39% 4. 퀄컴 3.9% 5. 브로드컴 3.77% 6. NVIDIA 2.83% 7. 소니 2.54% ...
    Date2021.12.16 소식 By낄낄 Reply9 Views2180 file
    Read More
  18. No Image

    IBM과 삼성이 VTFET 트랜지스터를 개발

    IBM과 삼성이 VTFET(수직 전송 전계 효과 트랜지스터)를 개발했다고 IEDM 컨퍼런스에서 발표했습니다. 트랜지스터를 칩에 수직으로 적층하는 새로운 디자인을 도입한 것이 특징입니다. 기존의 트랜지스터는 트랜지스터가 실리콘 표면에 수...
    Date2021.12.14 소식 By낄낄 Reply13 Views2025
    Read More
  19. 인텔 3D 스택 트랜지스터, 2025년 이후에 30~50%의 로직 확장이 목표

    인텔이 IEEE EDM(International Electron Devices Meeting) 2021에서 발표한 내용입니다. 하이브리드 본딩으로 10배 이상의 패키징 인터커넥트 밀도 개선, 30~50%의 트랜지스터 로직 확장, 전력/메모리 기술 혁신 등을 목표로 하고 있습니...
    Date2021.12.12 소식 By낄낄 Reply5 Views1985 file
    Read More
  20. ASML, 2030년까지 3천억개의 트랜지스터 로직을 예측

    ASML은 투자자들을 위한 문서에서 무어의 법칙이 여전히 건재하다고 말했습니다. ASML은 실리콘 노광 장비를 만듭니다. 실리콘 원판을 반도체 로직이나 메모리로 바꾸는 작업을 이 회사의 기계가 하지요. 2030년까지는 3천억 개의 트랜지...
    Date2021.10.01 소식 By낄낄 Reply2 Views1235 file
    Read More
목록
Board Pagination Prev 1 2 3 4 5 6 7 8 9 10 ... 13 Next
/ 13

최근 코멘트 30개

더함
MSI 코리아
한미마이크로닉스
AMD

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소