Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

  1. TSMC A16 공정 발표, 2026년 하반기에 도입

    TSMC는 2026년 후반기에 1.6nm 공정 기술인 A16을 도입합니다. https://www.anandtech.com/show/21369/tsmcs-16nm-technology-announced-for-late-2026-a16-with-super-power-rail-bspdn TSMC는 원래 N2P에서 후면 전력 전달 네트워크 기...
    Date2024.04.26 소식 By낄낄 Reply1 Views134 newfile
    Read More
  2. ASML, High-NA로 10nm 패턴 인쇄, 두번째 High-NA 스캐너 발송

    ASML은 High-NA EUV 장비를 사용해 10nm 패턴을 인쇄했다고 발표했습니다. 광학 시스템, 센서, 각 단계를 보정을 마쳤다고 하네요. 나중에는 성능을 더 높이고 생산 현장에서도 똑같은 성능을 내는 것이 목표입니다. https://twitter.com/...
    Date2024.04.19 소식 By낄낄 Reply1 Views1032 file
    Read More
  3. 삼성전자, 3D DRAM 로드맵 공개, 적층형 DRAM

    삼성이 앞으로 몇 년 안에 3D 구조로 적층한 DRAM 메모리를 내놓겠다고 로드맵을 공개했습니다. 1세대 sub-10nm 공정부터 수직 채널 트랜지스터를 쓴 DRAM을 출시할 예정입니다. 수직 채널 트랜지스터 VCT는 일종의 FinFET로, 전도 채널을...
    Date2024.04.05 소식 By낄낄 Reply1 Views1305 file
    Read More
  4. 인텔 18A 공정, 26년까지 양산 안함

    인텔 CEO는 2025년에 팬서레이크와 클리어워터 포레스트를 출시하고, 2026년에 18A 공정 생산량을 늘릴 것이라고 밝혔습니다. 2025년에 인텔 웨이퍼의 대부분은 인텔 7과 인텔 10을 사용한다네요. 팬서레이크는 인텔의 차세대 클라이언트 ...
    Date2024.04.05 소식 By낄낄 Reply3 Views888 file
    Read More
  5. 인텔, 2027년에 1nm(10A) 공정, AI 자동화 공장 도입

    인텔 파운드리 다이렉트 커넥트 이벤트에서 발표한 새로운 내용입니다. 인텔 10A(1nm 공정 수준)은 2027년 말에 생산/개발을 시작합니다. 14A(1.4nm)는 2026년부터 생산합니다. 각 노드의 개선점은 발표하지 않았으나, 새 노드가 14~15% ...
    Date2024.02.29 소식 By낄낄 Reply0 Views752 file
    Read More
  6. No Image

    TSMC 2nm, 24년 4분기에 시험 생산, 25년 2분기에 양산

    TSMC의 2nm EUV 노드가 2024년 4분기에 시험 생산을 시작하고, 모든 것이 순조롭게 진행된다면 2025년 2분기부터 양산을 시작할 거라고 합니다. TSMC 2nm는 10년 동안 공정을 주도해온 FinFET의 후속작, GAA를 처음으로 도입하는 것이기에...
    Date2024.02.21 소식 By낄낄 Reply1 Views309
    Read More
  7. 수소로 냉각하고, 액체 주석 5만방울을 떨어트리는 EUV 노광기

    이런 글 옮기는 게 정말 귀찮은데, 이건 정말 재밌게 봐서 한참을 벼르다가 이제야 올려봅니다. 대규모 집접 회로는 이렇게 생산합니다. 웨이퍼를 세척하고 그 위에 산화막을 만들고 회로층을 구성하는 재료 막을 만듭니다. 그 후 빛이 닿...
    Date2024.02.17 소식 By낄낄 Reply14 Views2635 file
    Read More
  8. No Image

    글로벌 파운드리: 10nm 이하 공정으로 전환이 예상보다 빠르다

    글로벌파운드리는 2018년에 7nm 공정 기술 개발을 포기하고 특수 공정에 집중했습니다. 첨단 공정 경쟁에서 TSMC와 삼성에게 뒤쳐지고, 거액의 투자가 필요했으니 당시로선 거의 유일한 선택이었을 겁니다. 하지만 최근 실적 발표에서 글...
    Date2024.02.15 소식 By낄낄 Reply3 Views827
    Read More
  9. No Image

    TSMC, High NA EUV 장비 도입을 서두르지 않음

    TSMC가 앞으로 5년 안에 High NA EUV 생산 기술을 채택할 가능성이 낮다는 이야기가 나왔습니다. TSMC는 High-NA EUV 장비 주문을 하지 않았으며 2nm 공정에서 이 기술을 사용할 가능성이 없습니다. 1.4nm 공정에서 TSMC의 High NA를 가장...
    Date2024.02.09 소식 By낄낄 Reply2 Views573
    Read More
  10. No Image

    인텔, 18A 공정으로 Arm 네오버스 생산

    ASIC 설계와 IP 솔루션 제공사인 페러데이 테크놀러지가 인텔 18A 공정을 사용해 Arm 네오버스 64코어 프로세서를 생산한다고 발표했습니다. 하이퍼스케일 데이터센터, 인프라 엣지, 5G 네트워크 등의 분야에 사용하기에 PCIe, CXL, DDR5,...
    Date2024.02.07 소식 By낄낄 Reply1 Views405
    Read More
  11. TSMC의 작년 실적, 3nm가 15%를 차지

    TSMC가 2023년 4분기와 2023년 전체 실적을 발표했습니다. 실적 자체는 재미가 없으니 공정만 봅시다. 2023년 4분기에 3nm 공정으로 만든 웨이퍼 매출은 TSMC 전체 웨이퍼 매출의 15%를 차지했지만 N5와 N7은 각각 39%와 17%를 차지했습니...
    Date2024.01.21 소식 By낄낄 Reply1 Views569 file
    Read More
  12. No Image

    인텔, 독일 팹에서 1.5nm 공정을 생산할 것

    인텔 팻 겔싱어 CEO는 독일 마그데부르크 근처에서 건설하는 팹이 전세계에서 가장 발전된 기술을 다룰 것이라고 말했습니다. 18A 이후의 공정(1.5nm로 보임)을 도입해 인텔과 인텔 파운드리 고객들의 칩을 생산합니다. 아일렌드의 인텔 ...
    Date2024.01.19 소식 By낄낄 Reply7 Views923
    Read More
  13. TSMC의 공정 로드맵, 1조 개의 트랜지스터와 1nm 공정

    TSMC의 공정 로드맵입니다. 2023년을 목표로 2nm 급 N2와 n2P, 1.4nm급 A14와 1nm급 A10 공정을 개발하고 있습니다. 1nm 공정의 경우 기본적으로 2천억 개의 트랜지스터가 있으며 여기에 3D 패키징을 사용해 여러 칩렛을 멀티 패키징하면 ...
    Date2023.12.28 소식 By낄낄 Reply1 Views726 file
    Read More
  14. No Image

    인텔, 18A가 TSMC보다 먼저 출시된다

    인텔의 팻 겔싱어 CEO는 자사의 18A 공정이 TSMC N2보다 조금 더 나은 성능을 지니며, 경쟁사보다 1년 일찍 출시된다고 주장합니다. 인텔 20A와 18A 공정은 GAA 리본FET 트랜지스터와 후면 저원 공급 네트워크(BSPDN)이라는 2가지 큰 기술...
    Date2023.12.24 소식 By낄낄 Reply5 Views739
    Read More
  15. TSMC, 1.4nm 공정인 A14를 공개

    TSMC가 Future of Logic 패널에서 처음으로 1.4nm 공정인 A14의 이름을 언급했습니다. A14를 언제 양산할지는 모르지만 N2가 2025년 하반기, N2P가 2026년 하반기니까 A14는 빨라야 2027년에 나올 것입니다. 사용 기술의 경우 CFET를 쓸 ...
    Date2023.12.15 소식 By낄낄 Reply1 Views960 file
    Read More
  16. 에릭슨, 인텔 4 공정으로 만든 프로세서 출시

    에릭슨이 인텔 4 공정으로 만든 프로세서를 출시했습니다. 인텔 메테오레이크보다도 먼저 인텔 최신 공정을 써서 출시한 것입니다. 고대역 라우터에 사용하는 RAN(Radio Access Network) 프로세서인 6672, 무선 프로세서인 6372가 그 주인...
    Date2023.11.30 소식 By낄낄 Reply1 Views907 file
    Read More
  17. 삼성 3/4nm 노드, 2024년 하반기에 양산

    삼성이 2024년 하반기에 3nm 공정(SF3)과 기존 4nm 공정의 고성능 버전인 SF4X를 양산할 예정이라고 밝혔습니다. SF3는 기존 SF3E를 업그레이드한 것입니다. 가상화폐 채굴 칩을 만드는데만 썼지요. 셀 종류는 유지하면서 GAA 트랜지스터...
    Date2023.11.05 소식 By낄낄 Reply0 Views672 file
    Read More
  18. No Image

    중국의 첫 28nm 리소그래피 스캐너가 올해 납품

    중국의 노광기 제조사인 SMEE(Shanghai Micro Electronics Equipment)는 올해 말까지 28nm급 공정의 생산이 가능한 리소그래피 스캐너를 출시할 예정입니다. 이 회사의 최신 기기인 SSA/800-10W는 90nm 이상의 공정을 다룰 수 있으나 새 ...
    Date2023.10.08 소식 By낄낄 Reply3 Views509
    Read More
  19. 메테오레이크, 수율이 50%라 쳐도 매달 36만개를 생산

    인텔 테크 투어 말레이시아에서 진행한 QnA의 요약 입니다. 인텔 시니어 디렉터와 부사장이 대답했습니다. 인텔 4의 양산은 오레곤의 D1에서만 합니다. D1C/D1D/D1X를 모두 포함해서 매달 4만장씩 만들고 있는데, 이건 D1의 합계이지 인텔...
    Date2023.08.29 소식 By낄낄 Reply2 Views980 file
    Read More
  20. 인텔이 생산 중인 코어 울트라와 패키지 공장 첫 공개

    인텔 패키징을 비롯한 후공정을 담당하는 말레이시아 페낭 캠퍼스입니다. 보도 관계자들에게 공개된 건 이번이 처음이라고 합니다. 여기에선 EMIB를 사용한 4세대 제온 SP, 포베로스를 쓰는 메테오레이크, 인텔 데이터센터 GPU 맥스(폰테 ...
    Date2023.08.27 소식 By낄낄 Reply6 Views3532 file
    Read More
목록
Board Pagination Prev 1 2 3 4 5 6 7 8 9 10 ... 12 Next
/ 12

최근 코멘트 30개
보문산타이거
05:06
보문산타이거
05:04
슬렌네터
04:49
라데니안
03:40
포인트 팡팡!
03:16
Lynen
03:16
유카
03:08
린네
02:29
린네
02:24
이수용
02:22
카에데
02:21
별밤전원주택
02:15
린네
02:14
별밤전원주택
02:13
카에데
02:10
nsys
02:09
빈도
01:58
빈도
01:58
마초코
01:41
이계인
01:40
이계인
01:38
세라프
01:37
냥뇽녕냥
01:36
세라프
01:34
세라프
01:31
니즛
01:31
파팟파파팟
01:30
세라프
01:29
유지니1203
01:29
니즛
01:27

AMD
한미마이크로닉스
더함
MSI 코리아

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소