Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

Extra Form
참고/링크 http://pc.watch.impress.co.jp/docs/colum...54832.html

10nm 공정으로 미세화 리더십을 회복

 

인텔 프로세스 기술이 4년만에 크게 진화합니다. 인텔은 올해 하반기에 양산될 예정인 10nm 프로세스의 개요를 발표했습니다. 내년엔 본격적인 양산으로 전환할 계획입니다.

 

인텔은 지금까지 2년 간격으로 새로운 세대의 프로세스를 도입해 양산해 왔습니다. 현재 세대인 14nm 공정 양산은 2014년 ~ 2015년에 시작됐습니다. 그 이전의 22nm 공정은 2012년, 그보다 더 전인 32nm 공정은 2010년, 더 전인 45nm 공정은 2008년에 양산됐습니다.

 

2008년 45nm 공정부터 2012년 22nm 공정까지 매우 순조롭게 미세화가 진행됐다고 할 수 있습니다. "2년 간격으로 트랜지스터 밀도를 2배로 늘리겠다'는 무어의 법칙을 확실하게 어필할 수 있었습니다.

 

그러나 14nm 프로세스부터 변화가 생겼습니다. 14nm 프로세스의 양산이 2014년 후반으로 미뤄지면서 22nm 프로세스와의 간격은 2년 반이 됐습니다. 또한 10nm 프로세스에 이르러서는 2016년에는 아무런 구체적인 발표가 없었습니다.

 

반도체 공정 기술의 저명한 국제 학회인 IEDM, VLSI 심포지엄, IDF에서 인텔 프로세스 개발을 걱정하는 목소리가 나오기 시작했습니다. 이와 대조적으로 파운드리 업체들이 10nm 프로세스, 심지어 7nm 공정의 개요를 국제 학회에서 발표했던 것도 불안을 부채질한 이유이기도 합니다.

 

이러한 불안을 잠재우고 인텔이 프로세스 개발의 리더십을 여전히 붙잡고 있음을 어필한 것이 3월 28일에 미국 캘리포니아주 샌프란시스코에서 개최한 제조 기술 관련 이벤트 Intel Technology and Manufacturing Day 입니다.

 

이 행사에서 기조 연설을 맡은 수석 부사장 Stacy Smith는 "인텔은 무어의 법칙을 계속 이끌어 나가고 있다"고 공식 성명서에 밝혔습니다. 또한 경쟁 파운드리 업체에 비교해도 공정 개발이 14nm 프로세스의 시점에서 3년 정도 앞섰다고 주장하며, 10nm 프로세스에서는 그 격차를 더욱 확대하겠다고 선언했습니다.

 

1.jpg

 

무어의 법칙은 죽지 않는다는 것을 어필. 트랜지스터의 제조 비용은 10nm 프로세스에서도 기존 추세대로 줄어들 것이라고 합니다.

 

2.jpg

 

논리 회로의 실리콘 다이 면적에 비교하면 인텔의 14nm 공정은 경쟁사의 실리콘 파운드리보다 3년 정도 앞섰다고 주장합니다.

 

 

초 미세화. 하이퍼 스케일링이 무어의 법칙을 유지

 

Intel Technology and Manufacturing Day 이벤트에서 인텔은 하이퍼 스케일링(Hyper Scaling)이라는 키워드를 내세웠습니다. 하이퍼 스케일링은 기존의 미세화(스케일링)를 넘어서는 트랜지스터 밀도 증가를 의미합니다. 인텔은 14nm 공정과 10nm에서 하이퍼 스케일링을 실현했다고 어필합니다. 

 

3.jpg

 

스케일링을 넘어선 스케일링. 하이퍼 스케일링을 14nm와 10nm 공정에서 실현

 

14nm 프로세스 기술 개요를 인텔이 발표한 건 2014년 후반의 일입니다. 그 때는 하이퍼 스케일링이란 표현은 쓰지 않았습니다. Intel Developer Forum (IDF 2014)와 IEDM 2014에선 '14nm 프로세스에서도 22nm 공정까지 미세화 추세를 유지했다"는 표현에 그쳤습니다.

 

4.jpg

 

2014 년 Intel Developer Forum (IDF 2014)에서 Mark Bohr(당시 직책은 로직 기술 개발 부문의 시니어 펠로우)가 14nm 프로세스 기술 개요를 강연한 슬라이드의 일부. 논리 회로 면적(게이트 피치 × 금속 배선 피치)가 지금까지의 각 세대마다 0.53 배로 좁혀졌습니다.

 

그러나 IDF 2014의 강연 슬라이드를 자세히 보면 14nm 프로세스에서 빨라진 것처럼 보이는 값이 있습니다. 예를 들면 트랜지스터 1개당의 단위 면적(평방 mm)이 있습니다. 130nm 공정에서 22nm 공정까지 로그 스케일로 직선을 따라 감소했으나, 22nm 프로세스를 기점으로 14nm 공정을 향해 감소가 더욱 빨라졌습니다. 즉 트랜지스터 밀도 향상 속도가 더 높아진 것처럼 보입니다.

 

5.jpg

 

IDF 2014에서 Mark Bohr가 강연한 슬라이드의 일부. 중앙의 그래프가 프로세스 세대마다 트랜지스터 면적(제곱mm/트랜지스터)입니다. 130nm 공정에서 22nm 공정까지 직선을 그리며 줄어들다가 22nm 공정에서 14nm 공정으로 가면서 직선의 기울기가 더 커졌습니다.

 

이것이 바로 '지금까지의 추세를 넘어서는 미세화. 하이퍼 스케일링'을 14nm 공정과 10nm 공정에서 실현해 무어의 법칙, 즉 2년마다 트랜지스터 수가 2배 증가함을 유지한다는 게 Intel Technology and Manufacturing Day에서 인텔의 가장 중요한 메세지라고 할 수 있습니다.

 

좀 더 쉽게 말하면 프로세스의 세대 교체에 걸리는 시간이 늘어난 만큼 미세화를 빠르게 함으로서 상쇄한다는 것으로, 인텔은 여전히 2년마다 2배라는 속도를 유지하고 있습니다. 22nm 프로세스까지 거의 2년 꼴로 새로운 세대의 프로세스에 의한 양산을 시작했지만, 14nm 프로세스에서는 2년 반, 10nm 프로세스에서는 4년에 가까운 개발 기간을 필요로 하고 있습니다. 개발 기간이 늘어난 만큼 14nm 프로세스에서는 트랜지스터(엄밀하게는 트랜지스터의 밀도)를 2.5배, 10nm 프로세스에서는 2.7배로 늘림으로써 장기적으로는 트랜지스터 수가 2년마다 두배가 되는 것입니다.

 

6.jpg

 

45nm 공정에서 10nm 공정까지 트랜지스터(제곱mm)의 변화. 가로축은 대량 생산(HVM : High Volume Manufacturing)의 시작 년도. Intel Technology and Manufacturing Day에서 Mark Bohr(시니어 펠로우, Technology and Manufacturing Group)가 제시 한 슬라이드

 

7.jpg

 

공정 기술의 각 세대마다 트랜지스터의 변화율. 

 

8.jpg

 

이번 이벤트에서 인텔은 로직 트랜지스터의 정의를 밝혔습니다. NAND 셀을 0.6, 스캔 플립 플롭 셀을 0.4의 비율로 배치한 논리 회로를 가정했습니다.

 

 

자기 정합 멀티 패턴이 하이퍼 스케일의 핵심

 

개발 기간이 늘어난 만큼 미세화를 더 늘린다는 건 무어의 법칙을 유지하기 위해 맞춰낸 듯 합니다. 하지만 그건 아닙니다. 왜냐면 하이퍼 스케일링에 도달하기 위한 기술은 어떻게 조절해서 개발할 수 있는 게 아니기 때문입니다. 오히려 매우 어렵다고 할 수 있습니다. 10nm 공정까지 무어의 법칙을 유지한다는 건 굉장한 일입니다.

 

14nm 공정과 10nm 프로세스에서 하이퍼 스케일링을 실현하는 핵심은 금속 배선 (상호) 리소그래피 (패턴 형성)에 자기 정합형 멀티 패턴 (SAMP : Self Aligned Muti-Patterning) 기술을 채용한 것으로. SAMP 없이 하이퍼 스케일링은 불가능한 일이라고 인텔은 설명합니다.

 

인텔은 45nm 프로세스 리소그래피에 멀티 패터닝 기술을 채용했습니다. 플라나형 트랜지스터의 게이트 형성에 ArF 드라이 노광 더블 패터닝을 썼습니다. 32nm 공정에서 플라나형 트랜지스터의 게이트 형성에 ArF 액침 노광 더블 패터닝을 채택했습니다. 그리고 인텔은 22nm 프로세스에서 FinFET을 도입해 핀 가공에 ArF 액침 노광 더블 패터닝을 사용했습니다. 그러나 22nm 공정까지 배선 공정에 더블 패터닝은 채용하지 않고 싱글 패터닝으로 어떻게든 견뎌왔습니다.

 

14nm 공정은 FinFET의 핀의 가공 및 게이트 가공, 그리고 최소 피치의 배선 가공에 ArF 액침 더블 패터닝을 채택했습니다. 더블 패터닝에 의해 배선 피치가 크게 좁아져 22nm 공정까지 보다 미세화를 실현할 수 있었습니다.

 

9.jpg

 

14nm 프로세스의 하이퍼 스케일링. Intel Technology and Manufacturing Day에서 Ruth Brain(Technology and Manufacturing Group의 펠로우)가 제시한 슬라이드

 

더블 패터닝 기술은 이론적으로 최소 가공 치수를 절반(40nm 피치)으로 낮췄습니다. 실현 수단은 크게 나눠 두 종류가 있습니다. 자기 정합형 더블 패터닝 (SADP : Self-Aligned Double Patterning) 기술과 피치 분할(LELE : Litho-Etch-Litho-Etch) 기술입니다.

 

SADP는 평행한 직선(라인 & 공간)의 패턴 가공에 한정된다는 제약이 있지만 노출이 한번으로 끝나 정렬 오차 관리가 덜 까다롭다는 장점이 있습니다. LELE은 노출이 두번 필요해 정렬 오차를 최소한으로 줄여야 한다는 단점이 있지만 가공 패턴의 제약이 크지 않습니다.

 

인텔은 SADP를 채택했습니다. 핀 게이트 배선에 라인 & 스페이스 패턴을 썼는데 SADP가 LELE에 비해 제조 비용의 증가를 줄일 수 있어서 사용한 것이라 보입니다.

 

그리고 10nm 프로세스에선 쿼드 달러 풀 패터닝을 채택했습니다. 쿼터 달러 풀 패터닝 기술은 이론적으로 최소 가공 치수를 1/4(20nm 피치)로 줄였습니다. 이 기술도 더블 패터닝과 마찬가지로 자기 정합형과 피치 분할형이 있습니다. 인텔이 사용한 건 자기 정합형 SAQP (Self-Aligned Quadruple Patterning) 기술입니다. SAQP는 단 한번의 노출로 끝나는 반면, 피치 분할 쿼터 달러풀 패터닝은 4번 노출을 해야 합니다. 이 차니는 처리량과 수율에 영향을 줍니다. SAQP도 SADP와 같은 제약이 있으니 처리량과 수율은 피치 분할보다 높습니다. 즉 멀티 패터닝을 해도 비용 증가가 적습니다.

 

10.jpg

 

10nm 프로세스의 하이퍼 스케일링(일부). 10nm 프로세스에서 처음 SAQP (Self-Aligned Quadruple Patterning) 기술을 리소그래피에 채용했습니다. Kaizad R. Mistry(기업 부사장, Technology and Manufacturing Group)가 제시한 슬라이드.

 

10nm 프로세스에서 SAQP을 도입한 부분은 FinFET의 핀 가공 및 배선 가공(최소 피치 층)입니다. 핀 피치는 34nm에서 14nm 프로세스의 0.81배에 배선 피치(2층 메탈 배선 피치라고 보임)는 36nm로 14nm 프로세스의 0.69배로 줄었습니다. FinFET의 게이트 가공은 14nm 공정과 마찬가지로 SADP(더블 패터닝)을 채용한 것으로 보입니다. 게이트 피치는 54nm에서 14nm 프로세스의 0.78배로 좁혀졌습니다.

 

11.jpg

 

FinFET의 핀 단면을 전자 현미경으로 관찰한 이미지. 22nm 프로세스의 핀 피치는 60nm, 핀의 높이는 34nm. 14nm 프로세스의 핀 피치는 42nm, 핀의 높이는 42nm입니다. 그리고 10nm 프로세스에서는 핀 피치를 34nm로 축소하고 핀의 높이를 53nm로 올렸습니다. 14nm 공정에 비해 핀 피치는 0.81배, 핀 높이는 1.26배가 됐습니다.

 

 

10nm 프로세스의 논리 면적을 줄여줄 두 가지 핵심 기술

 

이미 설명한대로 10nm 프로세스에선 단위 면적당 트랜지스터 수가 14nm 프로세스의 2.7배로 늘어났습니다. 또한 논리 회로의 면적은 14nm 프로세스의 0.37배로 작아졌습니다. 이러한 급격한 미세화를 실현한 핵심 기술에는 더미 게이트의 감소와 활성 영역의 게이트 연락처 형성(COAG : Contact Over Active Gate)이 있습니다.

 

12.jpg

 

논리 회로 면적의 변화. 32nm 공정과 22nm 프로세스는 이전 세대의 0.4X였으나 14nm 공정과 10nm는 기존의 0.37배로 더 작아졌습니다.

 

13.jpg

 

10nm 프로세스의 하이퍼 스케일. 10nm 프로세스에서는 더미 게이트의 감소와 활성 영역의 게이트 연락처 형성이 트랜지스터 밀도의 증가와 로직 셀 면적의 감소에 기여했습니다.

 

더미 게이트는 인접한 로직 셀을 전기적으로 분리하기 위해 설치합니다. 로직 셀의 양쪽에 1개씩 넣는 게 기존의 레이아웃입니다. 10nm 프로세스에서는 더미 게이트 로직 셀 경계 영역에 배치해 로직 셀의 면적을 줄였습니다.

 

게이트 어드레스는 기존 활성 영역의 외부에 배치했습니다. 활성 영역의 트랜지스터에 영향을 피하기 위해서입니다. 10nm 프로세스에서는 활성 영역에 게이트 어드레스를 넣어 로직 회로 면적을 10% 가량 줄일 수 있었다고 합니다.

 

14.jpg

 

기존 14nm 공정 더블 더미 게이트(왼쪽)와 10nm 공정의 싱글 더미 게이트(오른쪽).

 

15.jpg

 

게이트 어드레스의 위치. 왼쪽이 기존의 레이아웃. 활성 영역의 바깥쪽에 있습니. 그만큼 논리 회로의 면적이 커집니다. 오른쪽은 10nm 공정의 레이아웃입니다. 활성 영역의 내부에 게이트 어드레스를 넣었습니다.

 

16.jpg

 

마이크로 프로세서의 실리콘 다이 면적 변화. 45nm 공정의 프로세서를 100제곱mm로 가정하여 규격화한 것입니다. 32nm 공정과 22nm 프로세스에서는 0.62배로 작아졌습니다. 14nm 프로세스에서는 0.46배와 소형화 속도가 늘어나며 10nm 프로세스에서는 0.43배와 이전 세대에 대한 축소 속도가 더욱 빨라졌습니다.

 

10nm 프로세스에서도 14nm 프로세스와 마찬가지로 CPU 로직뿐만 아니라 SoC (System on a Chip) 및 FPGA 등의 전개를 예정하고 있습니다. 용도에 따라 구분을 상정한 SRAM 셀의 셀 면적도 3개 발표했습니다.

 

17.jpg

 

SRAM 셀의 미세화 추세.

 

18.jpg

 

14nm 공정과 10nm 프로세스에서 SRAM 셀의 크기를 비교. 14nm 공정의 SRAM 셀 면적은 인텔이 2015년 6월에 국제 학회 VLSI 심포지엄에서 발표 한 수치입니다. 10nm 공정의 SRAM 셀 면적은 이번 행사에서 Kaizad R. Mistry가 보여준 슬라이드에서 뽑아낸 값입니다.



  • profile
    dmsdudwjs4      Into the Unknown, Show Yourself !! // ThunderVolt_45 2017.04.15 23:44
    이미 죽은 법칙 억지로 살려내려 하지마...
  • ?
    RuBisCO 2017.04.15 23:48
    이게 어처구니가 없는게 2년마다 트랜지스터당 가격이 절반이 되었는데 샌디브릿지-스카이레이크의 4년 동안 데스크탑 메인스트림의 트랜지스터 카운트는 겨우 1.5배 밖에 안됩니다. 다이가격이 8분의 3밖에 안되는데 받아먹는 가격이 그대로였단 소리.
  • profile
    단풍이      레무/연약한 대학생 Nikon FE2 35/2 1480일차 2017.04.15 23:51
    네할렘시절 다이크기생각하면 요즘은...진짜 인텔이 얼마나 남겨먹는건지ㅂㄷㅂㄷ
  • ?
    놀부심뽀 2017.04.16 13:39
    2년마다 다이면적이 절반이 되긴 했지만, 가격이 절반이 된건 아니죠.
  • ?
    RuBisCO 2017.04.16 19:24
    본문 정확히 다시 읽어주세요. 본문은 분명 '$ per transistor'가 무어의 법칙의 그래프를 따라간다고 했습니다. 그리고 샌디브릿지 i7 메인스트림 -> 스카이레이크 i7 메인스트림의 트랜지스터 카운트는 약 샌디브릿지의
    1.5배입니다.( 1160M -> 1750M )
  • ?
    archwave 2017.04.16 19:32
    $ per transistor 는 연구개발비, 시설 투자 비용 같은 것이 빠진 생산비용 기준일거 같네요.

    그렇게 본다면 단순 산술 비교도 좀 무리가 있지 않을까요 ? 어딘가 중간쯤을 봐야 하는거 아닐지.
  • ?
    RuBisCO 2017.04.16 20:00
    반도체의 생산비용은 공정을 위한 연구개발비용이 들어가있습니다. 그리고 이 부분이 인텔이 R&D에서 가장 큰 비중을 차지하는 비용이죠. 물론 설계를 위한 언구개발비용과 칩의 패키징 및 유통비용도 있으니 그것도 감안해야 하긴 합니다만 단가가 내려가는 동안 값을 그대로 받아먹은건 마찬가지입니다.
  • ?
    칠황보수 2017.04.16 00:30
    그면 1나노 까지 갈려나 ㅎㅎ
  • profile
    Renfro.      the last resort 2017.04.16 01:10
    그렇게 까지 신뢰가 가질 않아요. 시퓨 내 짬뽕공정을 생각하면(...)
  • profile
    白夜2ndT      원래 암드빠의 길은 외롭고 힘든거에요! 0ㅅ0)-3 / Twitter @2ndTurning 2017.04.16 01:19
    그리고 CEO의 꼬장도 굳건하겠지 말임돠. =ㅅ=
  • profile
    TundraMC      자타공인 암드사랑/GET AMD, GET MAD. Dam/컴푸어 카푸어 그냥푸어/니얼굴사... 2017.04.16 11:42
    무어는 죽지 않아요.대가를 치를 뿐.(리프레시)
  • ?
    키리바시 2017.04.16 11:56
    저도 전공이 이쪽이니 관심은 있는데... 소비자로써는 별로 감흥이 안오네요.
    오히려 무어의 법칙을 10nm까지 지켜낸다면서 가격은 그대로 받아 쳐먹었다는게 소름이네요.
  • profile
    슬렌네터      Human is just the biological boot loader for A.I. 2017.04.16 12:36
    그거해봐 빨리 짤이 생각나네요...= _=a
  • ?
    gri. 2017.04.16 13:19
    무어의 법칙은 이미 깨진 거 같은데.. 면적은 줄어들어도 다크실리콘 때문에 gpu나 늘리고 있기 때메 소비자 입장에선 가격도 안 떨어지고 성능도 그닥 높아지지 않고.. 어차피 무어의 법칙은 절대적인 법칙이 아닌, 경험칙의 영역에 속하기 때문에 최종소비자 제품인 컨슈머 제품에서 그 성능향상이 반영되지 않는다면-최소한 벤치마크 등에서- 이미 깨진 법칙이라고 해야 돼죠
  • profile
    ヌテラ未来      7460 2017.04.16 15:44
    ??? : 있잖아 인텔 그거해봐 그거

작성된지 4주일이 지난 글에는 새 코멘트를 달 수 없습니다.


  1. ZEN3+ 워홀은 취소되었다?

      RedGamingTech 유튜브 채널에 올라온 영상에 따르면 AMD는 현재 시장 상황을 고려하여    올해 하반기에 출시할 예정이었던 Zen 3+ 워홀의 출시를 취소할 것을 고려하고 있습니다.       워홀이 취소됨에 따라 Ryzen 6000 시리즈는 (원...
    Date2021.04.28 소식 By류오동 Reply16 Views2307 file
    Read More
  2. No Image

    인텔, 2021년 후반기에 타이거레이크-U 리프레시

    인텔이 2021년 후반기에 타이거레이크-U 프로세서를 리프레시합니다. 45W+의 타이거레이크-H가 2분기에 출시되며, 이 외에 15~28W의 타이거레이크-U도 새 모델이 나옵니다. 타이거레이크-U는 LPDDR5-5400 메모리를 지원한다고 알려져 있으...
    Date2021.04.28 소식 By낄낄 Reply0 Views604
    Read More
  3. No Image

    인텔이 35W 로켓레이크 프로세서를 출시

    인텔이 35W 로켓레이크 프로세서를 유럽에서 출시했습니다. 11900T와 11700T가 있습니다. 저전력 모델이 늘 그렇듯 가격은 일반 모델보다 비쌉니다. 그리고 한국에선 T가 붙은 걸 구할 수 있었던가 기억이 가물가물하군요. Core Arch Base...
    Date2021.04.28 소식 By낄낄 Reply4 Views776
    Read More
  4. 광축 키보드 윤활

      이걸로 카일 광축 스프레이 윤활을 해볼까 하는데 괜찮을까요? 아니면 차라리 엔진오일을 두방울씩 떨어뜨릴까요?
    Date2021.04.27 질문 By뚜까뚜까 Reply9 Views1367 file
    Read More
  5. 유튜브, 비디오 트랜스코딩을 위한 커스텀 ASIC로 서버 구축

    유튜브가 비디오 트랜스코딩을 위한 커스텀 ASIC를 만들어 자신들의 서버 인프라를 업데이트합니다. 유튜브는 1분마다 500시간의 동영상이 업로드됩니다. 평범한 하드웨어로 매달 20억명이 방문하는 플랫폼을 처리하기란 불가능에 가깝지...
    Date2021.04.27 소식 By낄낄 Reply9 Views1335 file
    Read More
  6. No Image

    지포스 RTX 3080 Ti 발표 일정

    5월 18일: 발표 5월 25일: 리뷰 5월 26일: 출시
    Date2021.04.27 소식 By낄낄 Reply5 Views730
    Read More
  7. No Image

    EVGA, 엘리트 멤버에게 그래픽카드 얼리 액세스

    EVGA가 엘리트 멤버에게 그래픽카드 얼리 액세스 정책인 ELITE Priority Access를 진행합니다. 새로 출시된 EVGA 제품의 대기열에 24시간 동안 먼저 예약을 걸 수 있습니다. 시작은 eVGA Z590 FTW WiFi 메인보드부터지만, 메인보드보다는 ...
    Date2021.04.27 소식 By낄낄 Reply3 Views748
    Read More
  8. 삼성전자, SAS 표준 최고성능 서버용 SSD 출시

    성전자가 최신 SAS-4 표준을 지원하는 업계 최고 성능의 엔터프라이즈 서버용 SSD를 출시했다. 삼성전자가 출시한 PM1653은 6세대 V낸드가 처음으로 적용된 초고속 엔터프라이즈 서버 전용 SAS-4 SSD로 800GB부터 최대 30.72TB까지 고객 ...
    Date2021.04.27 소식 By낄낄 Reply14 Views1598 file
    Read More
  9. No Image

    글로벌 파운드리, 본사를 뉴욕 팹8과 통합

    글로벌 파운드리는 본사를 뉴욕의 팹8로 이전한다고 밝혔습니다. 글로벌 파운드리는 지난 10년 간 팹8에 150억 달러 이상을 투자했으며, 2021년에는 5억 달러 투자를 목표로 하고 있습니다. 글로벌 파운드리가 싱가포르 공장을 매각하더니...
    Date2021.04.27 소식 By낄낄 Reply2 Views627
    Read More
  10. 중국 아스가르드의 DDR5 메모리 사진

    중국 아스가르드의 DDR5 메모리 사진입니다. 16GB 4800MHz, 32GB 4800Mhz는 양산 단계에 들어갔고, 5600MHz 32/64/128GB는 연구 개발 중이며, 6400Mhz는 기다려야 합니다. 아직은 메모리 칩이 거기까지 버틸 수준은 아닌건가 생각도 드네...
    Date2021.04.27 소식 By낄낄 Reply8 Views1393 file
    Read More
  11. 중국 Netac의 DDR5 메모리 모듈 사진

    중국 Netac의 DDR5 메모리 모듈 사진입니다. 개발은 끝났고 ASUS, MSI 등의 메인보드 회사와 함께 호환성 테스트 중입니다. 16GB, 40-40-40, 4800Mhz, 1.1V, 마이크론 R5 메모리 칩(Z9ZSB ES) 사용. 1znm 공정, FBGA 82볼 패키징, 크기 11...
    Date2021.04.27 소식 By낄낄 Reply3 Views983 file
    Read More
  12. No Image

    인텔 12세대 코어 프로세서 샘플이 이미 출시

    인텔은 올해 10nm 라인의 확충을 목표로 삼았으며, 하반기부터는 10nm가 14nm를 넘어 주력 모델이 될 거라 밝혔습니다. 10nm 아이스레이크 모바일은 작년에 나왔고 서버도 얼마 전에 발표했습니다. 데스크탑의 경우 로켓레이크가 아직 14n...
    Date2021.04.27 소식 By낄낄 Reply7 Views1479
    Read More
  13. 애즈락 썬더볼트4 확장 카드

    애즈락 썬더볼트4 확장 카드입니다. 2개의 썬더볼트4 40Gbps 포트 출력, TBT 헤더로 애즈락의 인텔 500 시리즈 메인보드와 연결, PCIe x4 슬롯, 인텔 HJL8540 메이플 릿지 컨트롤러, 2개의 DP 1.4 입력을 그래픽카드에서 받아 USB-C로 DP ...
    Date2021.04.27 소식 By낄낄 Reply3 Views1596 file
    Read More
  14. EK 워터블럭의 라이젠 스레드리퍼용 워터블럭

    EK 워터블럭의 라이젠 스레드리퍼용 워터블럭인 EK-Quantum Magnitude sTRX4입니다. Copper + Acetal 259.90 유로 Nickel + Acetal (D-RGB) 279.90 유로 Nickel + Plexi (D-RGB) 289.90 유로 Full Nickel 319.90 유로
    Date2021.04.27 소식 By낄낄 Reply2 Views406 file
    Read More
  15. ASUS CMP 40HX 채굴 카드의 성능 테스트

    ASUS CMP 40HX 채굴 카드의 성능 테스트입니다. 최대 43.77MH/s가 나오네요. 공식은 36MH/s지만 최적화로 성능을 더 올렸나 봅니다. 8GB GDDR6 메모리 탑재, TDP 185W, 가격 699달러입니다.
    Date2021.04.27 소식 By낄낄 Reply3 Views645 file
    Read More
목록
Board Pagination Prev 1 ... 751 752 753 754 755 756 757 758 759 760 ... 1939 Next
/ 1939

최근 코멘트 30개
M16
17:24
Iwish
17:21
애플마티니
17:14
TundraMC
17:13
바람돌이
16:53
벨드록
16:49
PLAYER001
16:48
아이들링
16:47
린네
16:43
조마루감자탕
16:26
uss0504
16:20
냥뇽녕냥
16:14
뚜찌`zXie
16:13
냥뇽녕냥
16:09
냥뇽녕냥
16:02
냥뇽녕냥
15:58
마초코
15:57
neon
15:56
난젊어요
15:55
영원의여행자
15:53
하아암
15:53
오꾸리
15:42
책읽는달팽
15:33
미주
15:33
책읽는달팽
15:32
TundraMC
15:32
세라프
15:30
툴라
15:27
그림자
15:27
툴라
15:26

더함
AMD
MSI 코리아
한미마이크로닉스

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소