Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

분석
2019.01.15 04:44

2019년 기술 동향 1: TSMC/삼성

profile
조회 수 5201 댓글 6
Extra Form
참고/링크 https://news.mynavi.jp/article/20190101-749503/

TSMC: PC 업계에서도 존재감이 늘어난 세계 최대 파운드리

 

TSMC와 PC와의 관계는(이전에는 아니었으나 지금은) 의외로 크지 않습니다. NVIDIA 지포스 시리즈와 칩셋, 주변기기 정도가 전부이며, 이곳의 기본은 스마트폰 SoC입니다. 그러나 글로벌 파운드리가 7nm 세대 개발을 포기하면서 AMD가 TSMC로 건너와, TSMC이 PC 시장에서 존재감이 부쩍 커졌습니다. 

 

1.jpg

 

Arm TechCon 2018에서 TSMC가 시장별 프로세스를 설명한 자료입니다. 2017년 자료와 비교하면 N10(10nm)가 완전히 사라지고 그 대신 N5(5nm)가 들어왔습니다. N10의 수요가 매우 적고 애플 A11 프로세서 정도만 사용했다고 합니다. TSMC도 N10을 적극적으로 판매하기보다는 7nm 이상으로 유도를 진행하고 있다보니 N10이 사라지는 건 지극히 당연해 보입니다.

 

7nm 공정의 첫번째 세대인 N7은 ArF와 액침 공정을 사용하고, 차세대인 N7+는 EUV를 사용합니다. N7로 제조한 제품 중 이미 출시된 건 애플 A12, 하이실리콘 기린 980, AMD 라데온 인스팅트 MI50/60(베가 7nm), 비트메인 BM1391이 있습니다. 작년에 샘플이 출시된 건 브로드컴 400G PAM-4 PHY, AMD Zen 2, 퀄컴 스냅드래곤 855/8cx입니다. 그리고 올해 테이프 아웃되어 프로토타입이 나올 것으로 보이는 건 자일링스 Versal, 암페어 컴퓨팅의 퀵실버, 에스페란토 테크놀러지의 ET-Maxion/ET-Minon, Pezy 컴퓨팅의 PEZY-SC3입니다. 한마디로 몹시 순조롭게 개발 중입니다. 

 

2.jpg

 

TSMC는 트랜지스터 층의 프로세스 기술을 발표하지 않았습니다. 인텔은 TSMC의 7nm가 LELELE(액침+ArF의 트리플 패터닝)이라고 주장하지만, SAQP(Self-Aligning Quad Patterning)이라고 설명하는 자료도 있습니다. LELELE와 SAQP를 비교하면 SAQP가 LELELELE(액침+ArF의 쿼드 패터닝)보다 50%나 더 비쌉니다. 하지만 LE를 4번 반복하면 제조 난이도가 매우 높아져, LELELE와 SAQP가 비슷한 수준이라고 보기도 합니다. 어느 쪽이 더 비싼지는 알지 못하나, EDA 업체는 TSMC의 7nm 툴에 멀티 컬리링 지원이라 표현하고 있으니 LELELE를 사용했으리라 추측됩니다. 

 

프로세스 TSMC N7 삼성 7nm 인텔 10nm
CPP (Contact Gate Pitch) 54nm 54nm 54nm
MMP (Minimum Metal Pitch) 40nm 36nm 36nm

 

TSMC N7의 밀도는 인텔 10nm와 비슷합니다. TSMC, 삼성, 인텔을 비교한 표를 보면 TSMC가 가장 좋습니다. 삼성과 인텔은 CPP/MMP가 같으나, 삼성은 7nm 1세대일 뿐더러 노광에 EUV를 쓰기에 만들기가 비교적 쉽습니다. 반면 인텔은 이걸 SAQP로 구현하기에 제조 난이도가 가장 어렵습니다. 그래서 지금까지 10nm 제품을 제대로 만들지 못했습니다. 

 

배선층 Pitch 배선 재료
M0 40nm 구리 + 코발트 라이너
M1 56nm 구리 + 코발트 라이너
M2 / M3 40nm 구리 + 코발트 라이너
M4 ~ M9 80nm 구리
M10 / M11 128nm 구리
M12 / M13 720nm 구리

 

트랜지스터 층 뿐만 아니라 배선층도 제조가 어렵긴 마찬가지입니다. TSMC도 배선층을 자세히 공개하진 않았으나 글로벌 파운드리가 예정한 7nm와 매우 비슷하다는 이야기가 있습니다. 위 표는 글로벌 파운드리의 7nm 공정에서 M0~M12의 13층 배선층 구성입니다. M0~M3까지는 SADP, 그 다음은 ArF+액침입니다. 크게 무리하지 않는 구성입니다. TSMC도 이것과 가까운 치수가 나온다고 합니다. 배선 재료는 모르지만. 

 

3.jpg

 

이를 종합하면 TSMC가 첨단 기술을 적극 도입하는 게 아니라, 확실하게 실현할 수 있는 걸 쓴다는 말입니다. 이것이 많은 고객을 확보한 이유입니다. TSMC의 N7은 16FF+보다 35%의 성능 향상과 60%의 전력 효율, 3.3배의 게이트 밀도(트랜지스터 밀도만 따지면 2.7배)를 실현했습니다. TSMC의 주장보다는 AMD가 설명한 내용이 더 현실적일 듯 한데, 게이트 밀도는 거의 2배라고 하네요. 3.3배 향상은 아무리 봐도 무리죠.

 

그래서 2019년에 나오는 Zen 2 기반 라이젠, Navi 기반 라데온은 N7로 제조됩니다. 그리고 올해 안에 EUV를 사용한 N7+로 제조한 제품의 샘플이 나옵니다. Zen 3에 해당되는데, 초기 샘플은 올해 말까지는 공개될 가능성이 있습니다. 하지만 N7+은 트랜지스터 자체에 큰 차이가 없다고 합니다. 2018년 5월에 열린 TSMC 2018 테크놀러지 심포니엄에서 N7+는 N7과 비교햇을 때 동일 성능 시 소비 전력은 10%, 게이트 밀도가 20% 개선됐다고 합니다. 

 

이는 주로 배선층의 연구에 의한 것입니다. SADP와 SAQP의 문제는 배선이 1차원이라는 겁니다. 위에 나온 그림을 다시 보면 이해가 쉬울텐데, LELE라면 2차원 배선이 됩니다. 그러나 SADP/SAQP는 하나의 배선층에서 한쪽 방향의 배선만 만들 수 있기에, 실제로는 여러 층의 배선이 수직을 이루도록 구성하고 이를 통해 우회하는 형태가 됩니다. 그 결과 배선 거리가 늘어나는 단점이 있습니다. 

 

나중에 다른 글에서 설명하겠으나 최신 공정에선 트랜지스터 그 자체보다 배선의 기생 용량 때문에 신호의 지연이 커지는 부작용이 생겼습니다. 그리고 전자 이동 문제도 있어 재질과 배선 방법에 한계가 도달했습니다. EUV를 써서 이 문제를 완화한다면 과장된 표현이나, 최소한 2차원 배선이 가능해지면서 배선의 기생 용량을 줄일 수 있게 됩니다. 또 배선을 줄이면 밀도를 그만큼 높이기도 어렵지 않습니다. 원래 SADP/SAQP 구현에서 우회해서 배선하기에 밀도를 높이기가 힘들었습니다. 

 

4.jpg

 

7nm 세대에선 VIA Piller라는 기법이 널리 보급됐습니다. 스탠다드 셀의 내부 연결이나 인접한 스탠다드 셀의 연결에서 M0/M1 같은 아래쪽 배선층을 사용하는 게 일반적입니다. 그런데 지금은 M0/M1의 저항 값이 높아지면서 이런 아래쪽 배선층을 써도 저항 값을 최소화하기가 힘든 경우가 있습니다. 이럴 때 VIA를 거쳐서 배선을 더 높은 M2까지 연결하는 방법이 VIA 필러입니다. 이 경으 M0/M1은 트랜지스터와 VIA를 연결하는 데 쓰지만, 이러면 M2 이상의 배선층을 압박하게 됩니다. 이들 문제는 EUV의 도입으로 상당 부분 완화됩니다.

 

5.jpg

 

이 EUV는 참 오랫동안 '몇 년 안에 실현된다'고 말하면서 전혀 실용화되지 않았습니다. 그 가장 큰 이유는 EUV 광원 출력이 생각대로 오르지 않아서입니다. ASML의 NXE:3400이란 EUV 노광 장치의 광학계는 ZEISS Starlith 3400를 씁니다. EUV 마스크를 제외하면 9개의 반사 거울로 구성됩니다. ArF는 렌즈를 사용해 빛을 모으기에 광원의 출력이 높지 않아도 되고, ArF 광원은 오랫동안 사용한 실적이 있어 출력을 높이기가 어렵지 않습니다. 그러나 EUV는 거울을 거치면서 빛의 출력이 점점 떨어집니다. 광원의 출력이 오르지 않는다면 1장의 웨이퍼를 노광하기 위해 긴 시간 동안 빛을 쬐야 합니다. 즉 정해진 시간 안에 생산하는 웨이퍼의 수량이 크게 떨어집니다. 

 

6.jpg

 

그럼 지금은 어디까지 발전했을까요? 이론적으로 노광 장치의 가동률이 100%라면 하루 2천장, 여기에 웨이퍼를 넣고 빼거나 마스크를 교환하니 실제 처리량은 2018년 말 기준으로 하루 1천장 수준입니다. ASML의 이 데이터에서 예시로 든 고객이 어딘지는 모르겠지만 TSMC나 삼성 둘 중 하나겠지요. 

 

7.jpg

 

ASML은 2019년에 1시간 당 125장에서 155장으로 처리량을 높인 NXE: 3400B를 출시합니다. 2020년에는 170장을 생산하는 NXE:3400C를 출시할 예정입니다. 2021년에는 185장, 2023년에는 개구율(NA)를 0.55까지 높인다지만 그건 기술적으로 갈 길이 멀어 보이는군요.

 

그럼 2020년 쯤 되면 ArF+액침 더블 패터닝과 같은 수준의 처리량이 나온다는 소리입니다. 2014년에 글로벌 파운드리의 Subramani Kengeri는 최소 200~450W의 광원 출력이 필요하다고 말했습니다. 최근에는 300W에 도달했으니 이제 양산을 실현할 수준까지 올라온 것입니다. 

 

이런 상황에서 TSMC는 2018년 10월에 N7+의 첫 테스트 칩을 테이프 아웃하고, 현재는 시험 생산 중입니다. 대량 생산으로 언제 옮길지는 시험 생산의 상황에 따라 달라지겠으나, 큰 문제가 없다면 3~4분기 안에 대량 생산으로 넘어갑니다. N7은 2017년 상반기에 시험 생산을 시작하고 2018년 4월에 대량 생산을 시작했습니다. 이와 똑같이 계산하면 2019년 8월~9월에 시험 생산을 끝내고 양산에 들어가도 이상하지 않습니다. 그럼 이 공정을 쓰는 내부 테스트 샘플인 알파 샘플은 11월이나 12월에 나온다는 셈입니다. 알파 샘플에 문제가 없으면 베타 셈플, 흔히들 말하는 엔지니어링 샘플이 OEM에 공급되는데 그건 2020년입니다.

 

TSMC는 2019년 1분기에 N5(5nm)의 시험 생산을 시작할 예정입니다. 순조롭게 진행되면 2020년 1분기에 대량 생산에 들어가며, 바로 생산을 시작하면 알파 샘플이 2020년 6월, 베타 샘플은 9월에 나옵니다. 즉 양산 제품의 출시는 빨라야 2020년 말입니다. 무엇보다 이 일정은 N7+와 N5+가 아무런 문제 없이 원활히 진행된다는 전제를 깔고 있습니다. 나중에 어떻게 될지 다시 봐야 합니다.

 

 

삼성: 7nm의 파트너는 IBM과 NVIDIA

 

삼성은 2018년 10월 18일에 7nm EUV의 시험 생산을 시작했다고 발표했습니다. 원래 2019년부터 시작할 예정이었던 걸 2달 정도 앞당긴 셈입니다. TSMC 역시 10월에 시험 생산을 시작했지만 삼성 파운드리가 더 빠릅니다. 또 2018년 12월 20일에 IBM은 삼성과 15년 동안 R&D 파트너쉽을 맺고, 자사의 Power 시스템과 IBM Z 시리즈, 리눅스 원을 위한 프로세서를 삼성 7nm 공정으로 제조한다고 발표했습니다. 여기에 삼성의 모바일 SoC에 NVIDIA까지 있으니 물량이 많아 보일수도 있습니다. 

 

8.jpg

 

삼성의 공정은 크게 4가지가 있습니다. 14nm는 글로벌 파운드리의 14LPP/14LPE에 추가로 14LPP의 저가형인 14LPC, 14LPC의 저전력 버전인 14LPU, 14LPP의 셀 라이브러리를 줄인 11LPP의 5가지로 나뉩니다. 이건 2017년에 이미 발표된 내용대로입니다. 여기서 트랜지스터를 줄인 10LPE, 고속 버전인 10LPP가 있습니다. 이걸로 모바일 SoC를 생산합니다. 여기서 셀 라이브러리를 줄인 8LPP와 그 저전력 버전인 8LPU가 나옵니다.

 

8LPP는 공식적으로 발표하지 않았으나 2018년 11월에 발표한 엑시노스 9820에서 이걸 썼다고 생각됩니다. 엑시노스 9820의 기존 모델인 엑시노스 9810은 삼성이 독자 개발한 M3 코어를 탑재하는데, 이는 디코딩 6명령/사이클에 12명령 이슈 포트를 지닌 아웃 오브 오더 구성의 고성능 프로세서입니다. 엑시노스 9820은 M3의 후속작인 M4를 탑재하며, 역시 고성능 구성을 섰으리라 생각되지만 이건 이 글의 본론이 아니니 넘어갑시다. 

 

그 다음 세대가 이 글의 주제인 7LPP입니다. 2018년 로드맵에선 7LPP에 이어 6nm/5nm 라인업, 4nm에서 GAA(게이트 올 어라운드)를 도입한다고 설명했습니다. 그러나 삼성 파운드리 포럼 2018에선 4nm에서 GAA를 쓰지 않아도 성능을 낼 수 있다고 판단해 FinFET를 유지합니다. 그리고 6nm를 생략, 결과적으로는 5LPE/4LPE/4LPP가 나옵니다. GAA는 3nm 세대에서 씁니다. 삼성은 3GAAE를 2019년에 내놓으려 하지만, 이 공정으로 생산을 한다는 게 아니라 디자인 키트나 PDK의 제공으로 보입니다.

 

9.jpg

 

인텔만큼은 아니어도 삼성도 트랜지스터 크기를 줄이기 위해 노력 중입니다. 삼성은 이를 스마트 스케일링이라 부르는데, 셀 양 끝에 더미 게이트를 넣는 게 아니라 더미 게이트를 하나로 줄여 셀 크기를 줄인다거나, 컨택트의 위치를 움직여 활성 게이트에 컨택트를 구축(COAG : Contact Over Active Gate)하는 방법을 쓰고 있습니다. 셀 라이브러리의 크기도 8LPP는 7.875T의 라이브러리를 씁니다. 

 

X 커플은 EUV니 대각선으로 배선이 가능하며, 이를 M0이나 M1에 주어 셀의 크기를 더 줄일 수 있다는 의미입니다. 다반 기본적으로 DR Compatibility라는 틀에 들어간 모든 프로세스는 IP와 레이아웃을 다시 쓰도록 설계해, 미세화된 공정보다 쉽게 마이그레이션이 된다는 게 삼성의 설명입니다. 

 

10.jpg

 

또 MDB(Mixed Diffusion Break)라는 방식도 준비 중입니다. 어느 프로세스에서 이걸 도입하는지는 밝혀지지 않았습니다.  pMOS는 Single Diffusion Break, nMOS는 Double Diffusion Break를 구성하는 방식으로, nMOS에 스트레스를 조절해 성능을 개선하는 방식입니다.

 

11.jpg

 

EUV의 경우 7LPP는 10LPP와 비교해 40%의 면적 축소와 20%의 성능 향상, 50%의 소비 전력 절감이 가능합니다. 

 

TSMC가 N7에서 N7+로 올린 것과 비교하면 성능이 많이 상승했으나, 이는 트랜지스터나 배선층 미세화도 함께 했다는 이야기입니다. 반대로 TSMC와 N7+를 10FF와 비교하면 같은 수준의 성능 향상이 있으니 동급이 될 겁니다. 대량 생산의 시기나 웨이퍼의 생산량에 대해 구체적으로 나오진 않았지만, 삼성은 TSMC와 똑같이 ASML의 NXE: 3400 시리즈를 사용하기에 대체적으로 비슷한 수치가 나올 것입니다. 즉 시험 생산을 시작한 시기가 같고, 대량 생산이 시작할 시기도 크게 차이나진 않다는 결론입니다. 그럼 여기도 알파 샘플이 올해 11~12월, 베타 샘플이 2020년에 나옵니다. 따라서 NVIDIA의 차기 제품은 2020년에 나옵니다.

 

12.jpg

 

삼성은 패키지도 여러 개발을 진행 중입니다. FOPLP-POP까지 실용화해 앞으로 진정한 3D 패키지에 주력해 나간다는 계획입니다. 삼성은 DRAM 시장에서 3DS 패키징의 DDR4 메모리를 양산 중이며, 그런 의미에서 3D SIP 구축에 필요한 TSV 구현 수준이 다른 회사보다 높습니다. 이 3D SIP는 인텔의 적측 패키지인 포베로스에 경쟁하거나, 혹은 포베로스가 3D SIP와 경쟁하기 위해 나왔다고 할 수도 있습니다. GPU에서 이 패키지의 의미가 크진 않을 듯 합니다. 어쨌건 발열 문제가 상대적으로 더 큰 모바일 SoC에서 유용하리라 보입니다. 

 

13.jpg

 

삼성은 14nm 공정에서 한국 S1과 미국 S2에 위치한 3곳의 공장에서 생산 중이지만, EUV는 S3에서 시험 생산하고 본격 양산은 S3 옆에서 건설 중인 EUV에서 맡게 됩니다. S4는 아직 그 용도를 알지 못합니다. 

 

14.jpg

 

ASML의 장비를 도입하는 Fab의 사진입니다. 삼성 로고 아래에 있는 게 EUV 라인입니다. 그 옆에서 기초 공사중인 게 S4일지도? 


TAG •

  • ?
    기끌드 2019.01.15 05:38
    오호 좋은 내용이네요
  • profile
    그게말입니다      맛집치프..... 2019.01.15 08:12
    솔직히 잘 모르는 내용들이 많지만 재미있게 글을 쓰셔서 정독했습니다
  • ?
    laphir 2019.01.15 23:17
    아마 한줄 요약하면 삼성 주식 사라는 말이 되나요?
  • ?
    MoneyMoney 2019.01.16 01:08
    조금 다른 이야기만, 본문보다 생각이 나서..., Intel은 Foveros로 Power 부까지 pkg에 적층하는 것으로 되어 있는데, 발열을 어떻게 해결할 지 궁금하네요.
  • profile
    Astro 2019.01.16 10:23
    포베로스가 모바일을 타겟으로 잡고 나온것 같은데, 어짜피 총 발열량은 비슷하고, 쿨러의 체급이 비슷하다면 저전력 프로세서들을 쓰는만큼 알아서 열을 잘 분산해서 해소하지 않을까요?
  • ?
    깜장꼬무신 2019.01.17 13:37
    와~ 솔직히 1도 못 알아 먹겠네요.
    삼성이 경쟁력이 있다는 소리 겠지요?

작성된지 4주일이 지난 글에는 새 코멘트를 달 수 없습니다.


  1. 와사비망고 DP 케이블 분해기

    에일리언웨어 모니터 사용기 3편을 쓰던 중, DP 케이블의 고질병인 화면 깜빡임 문제가 발생하여 교체용 케이블을 몇 개 주문했습니다.   이 문제의 주요 원인 중 하나로 지목받는것은 20번 핀이 살아있어서 생기는 역전류 문제인데요. 현...
    Date2020.03.20 분석 ByAstro Reply9 Views2070 file
    Read More
  2. 샤오미 USB 3.0 허브 XMFXQ01QM 분해

    샤오미 USB 3.0 허브 XMFXQ01QM의 분해 사진입니다. USB 3.0 포트 4개, USB-C 전원 공급 가능, 케이블 길이 0.24m, 크기 89x23.6x18.5mm, 무게 33g. 4가지 기능을 표시. 개봉 허브와 설명서 USB-A 케이블이 달린 허브입니다. 케이스 재질...
    Date2020.03.07 분석 By낄낄 Reply7 Views2520 file
    Read More
  3. AMD 젠 2 CPU 코어의 실제 모습이 공개

    AMD는 국제 반도체 학회 ISSCC(IEEE International Solid-State Circuits Conference) 2020에서 젠 2 코어의 구현에 대해 강연했습니다. Zen 2 : The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core(T. Singh, e...
    Date2020.03.03 분석 By낄낄 Reply1 Views3647 file
    Read More
  4. ISSCC 2020: AMD 젠 2 프로세서의 CPU 코어와 칩렛 기술

    ISSCC 2020에서 AMD가 시연한 젠 2 프로세서의 액체 질소 쿨링 벤치마크. AMD는 차세대 마이크로 프로세서인 젠2 CPU 코어 기술과 칩렛 기술을 반도체 회로 기술의 국제학회인 ISSCC 2020에서 발표했습니다. 2020년 2월 17일의 발표는 2...
    Date2020.02.21 분석 By낄낄 Reply2 Views3177 file
    Read More
  5. 현대 PC에서 DOS 부팅 USB를 통해 MS-DOS 사용하기

    제가 쓰는 노트북은 Vaio P로, 뛰어난 디자인과 완성도 but 그지같은 성능으로 인해 용도가 지나치게 한정된 제품이죠.    일반적으로 '컴퓨터의 성능' 을 일상생활 속에서 평가한다면, 평가에 대한 기준은 컴퓨터 프로세서의 절대적인 연...
    Date2020.02.03 분석 By우즈 Reply21 Views2167 file
    Read More
  6. UGREEN USB-C 멀티포트 어댑터 CM260 분해

    UGREEN USB-C 멀티포트 어댑터 CM260의 분해 사진입니다. UGREEN의 멀티포트 어댑터를 한국에서 쓰는 분들이 은근히 많아서 하나 소개해 봅니다. 뒷면의 제품 정보. 포장. 보증서와 설명서. 샌딩 처리된 케이스로 지문이 잘 묻지 않습니...
    Date2020.01.31 분석 By낄낄 Reply11 Views2232 file
    Read More
  7. No Image

    메모리의 발전과 컴퓨팅의 미래

    요 아래 나온 인텔의 MRAM 기술개발 소식( https://gigglehd.com/gg/6517977 )과 같이, 현재 대부분의 반도체 회사들은 계속해서 PRAM, MRAM등의 차세대 매모리를 개발하고 있습니다. 하지만 일반 소비자 입장에서는 지금 당장 사용하질 ...
    Date2020.01.27 분석 Bytitle: 흑우Moria Reply26 Views3333
    Read More
  8. No Image

    EVGA의 RTX 2060 KO, RTX 2070 SUPER과 비슷한 성능?

    유튜버인 Gamers Nexus에 따르면 EVGA RTX 2060에 들어간 칩셋이 TU104라서 RTX 2070 Super과 비슷한 성능을 낸다고 하는군요
    Date2020.01.24 분석 Bytitle: 민트초코라데온HD6950 Reply4 Views1235
    Read More
  9. Ryzen 7 4800U의 내장 그래픽, MX250보다 강력?

    (단순 중국어 번역문입니다)   차세대 Ryzen 4000U 시리즈 프로세서가 Zen2 아키텍처의 CPU 코어를 예상대로 사용했지만 GPU는 여전히 Vega 아키텍처에 다소 실망했습니다. 또한 Vega 10에서 Vega 8로 축소되어 2 세트의 컴퓨팅 장치를 거...
    Date2020.01.15 분석 Bytitle: 민트초코라데온HD6950 Reply19 Views9056 file
    Read More
  10. 다들 아시겠지만 축교환 기계식 키보드 주의점

    요즘 축교환 키보드가 흔합니다. 3년 전에는 드물었는데 지금은 개나소나 달고 나오죠.   보통은 이렇게 소캣이 ㅇ형태입니다. 이 경우 오테뮤 말고는 호환이 거의 안 됩니다. 오테뮤 축이 유난히 핀이 굵더군요.  반면 -형태의 소캣은 호...
    Date2020.01.11 분석 Bytitle: 부장님호무라 Reply17 Views7189 file
    Read More
  11. 2019년 SSD 성능 순위

    (단순 중국어 번역문입니다)   1 월 9 일 뉴스, Master Lu는 2019 년 하드 드라이브 성능 순위를 발표했으며, 삼성 PM981은 "가장 강력한 하드 드라이브"를, Kingston SA400S37 240GB는 "가장 인기있는 하드 드라이브"를 획득했습니다. 성...
    Date2020.01.10 분석 Bytitle: 민트초코라데온HD6950 Reply19 Views6046 file
    Read More
  12. 2019년 RAM 성능 순위

    (단순 중국어 번역문입니다)   Master Lu는 연간 PC 프로세서 목록 외에도 2019 년 PC 메모리 순위를 오늘 발표했습니다. 목록에서 8GB는 여전히 PC 플레이어의 첫 번째 선택입니다. 그중 Zhiqi DDR4 4266MHz 8GB는 올해 가장 강력한 메모...
    Date2020.01.10 분석 Bytitle: 민트초코라데온HD6950 Reply9 Views3155 file
    Read More
  13. 2019년 CPU 성능 순위

    (단순 중국어 번역문입니다)   1 월 8 일, 마스터 루는 2019 년 PC 프로세서 순위를 발표했습니다. 이 목록은 2018 년 연례 성능 챔피언십에 이어 32 개의 코어와 64 개의 스레드를 갖춘 AMD Ryzen Threadripper 2990WX가 다시 한 번 PC ...
    Date2020.01.10 분석 Bytitle: 민트초코라데온HD6950 Reply3 Views8222 file
    Read More
  14. 2019년 그래픽 카드 성능 순위

    (단순 중국어 번역문입니다)   이 목록은 NVIDIA TITAN RTX가 성공적으로 우승했으며 상위 5 개는 모두 N 카드이며 A 카드는 상위 5 개가 아닙니다.     마스터 Lu는 RTX 2080 Ti의 고급 버전으로서 NVIDIA TITAN RTX는 동일한 TU102 코어...
    Date2020.01.10 분석 Bytitle: 민트초코라데온HD6950 Reply8 Views2734 file
    Read More
  15. 스팀에서의 AMD 그래픽 카드 vs 엔비디아 그래픽 카드 점유율 비교 +a

    (단순 중국어 번역문입니다)   Steam은 사용자의 하드웨어 및 소프트웨어 데이터에 대한 월간 설문 조사를 실시하지만 설문 조사는 사용자의 의지를 기반으로하지만 Steam 플레이어의 전체 하드웨어 및 소프트웨어 상황을 반영 할 수는 없...
    Date2020.01.07 분석 Bytitle: 민트초코라데온HD6950 Reply22 Views3824 file
    Read More
  16. 애플 맥 프로 2019 분해 사진

    애플 맥 프로 2019의 분해 사진입니다. 인텔 8코어 제온 프로세서, 부스트 클럭 4GHz, 24.5MB L3 캐시 8GB DDR4-2666MHz ECC 메모리 4개 라데온 프로 580X 8GB GDDR5 256GB PCIe 플래시 스토리지 802.11ac WiFi, 블루투스 5.0 미국 텍사...
    Date2019.12.17 분석 By낄낄 Reply27 Views5907 file
    Read More
  17. VLSI: 무어의 법칙 3단계. 멀티 다이로 구성된 모듈화

    작은 칩을 모아 큰 칩을 만든다 무어의 법칙은 3단계가 있습니다. 여러 다이로 구성된 칩을 만드는 모듈화, 칩 설계의 완전 자동화까지 앞으로 반도체 칩이 나아갈 방향은 이 3단계에서 이루어진다고 예측합니다. 지금까지는 반도체 칩의 ...
    Date2019.10.07 분석 By낄낄 Reply10 Views2555 file
    Read More
  18. No Image

    SSD 데이터 복구의 어려움. 스마트폰은 복구가 거의 불가능

    일본 데이터 복구 협회가 진행한 데이터 복구 활동 발표회의 내용을 간추렸습니다. 현재 SSD의 출하량은 크게 늘어나고 있습니다. 2015년에는 전세계 8천만대도 팔리지 않았으나 2018년에는 1억 6715만대로 늘어났습니다. 하드디스크는 4...
    Date2019.09.22 분석 By낄낄 Reply18 Views5234
    Read More
  19. 타오바오 NAS는 왜 저렴하게 판매되는가?

    아무리 생각해도 이렇게 저렴할 이유가 없는데, 가진 스펙에 비해 타오바오 NAS는 너무 저렴하게 판매되고 있었습니다. 중고라고 쳐도, 이 많은 물량은 어디에서 온 것일까요? 그래서 조금 더 파보니 가상화폐 채굴에 사용되었다가 나온 ...
    Date2019.08.31 분석 Bytitle: 흑우Moria Reply41 Views35559 file
    Read More
  20. 부동 소수점 연산. 단정밀도와 배정밀도의 차이

    계산식의 자리수를 억제. 부동 소수점 컴퓨터는 숫자를 연산할 때 정수 연산과 소수 연산의 2가지로 나눠 구현합니다. 이건 '처리해야 하는 숫자의 자리수'가 매번 다르기 때문입니다. 정수는 보통 10자리 정도면 충분합니다. 대...
    Date2019.08.12 분석 By낄낄 Reply15 Views13322 file
    Read More
목록
Board Pagination Prev 1 2 3 4 5 6 7 8 9 10 11 Next
/ 11

최근 코멘트 30개

AMD
한미마이크로닉스
더함
MSI 코리아

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소