Skip to content

기글하드웨어기글하드웨어

컴퓨터 / 하드웨어 : 컴퓨터와 하드웨어, 주변기기에 관련된 이야기, 소식, 테스트, 정보를 올리는 게시판입니다.

Extra Form
참고/링크 https://n.news.naver.com/mnews/article/0...84?sid=105

fig1.5d67f1ac2d9c7.png

 

https://n.news.naver.com/mnews/article/001/0012531584?sid=105

 

duv장비도 최신제품은 제한한다는 소리가 있던데 진짜인가요? 아시는분~~



  • ?
    hjk9860 2021.07.18 03:07
    저런거보면 미국이 우리의 동맹인게 얼마나 다행이고 든든한지 모르겠네요
    저거 요새 다들 못사서 안달인데 우리한테 배정됬으면 좋겠네요
  • profile
    lightroo 2021.07.18 06:21
    잘하고 있네요. 역시 든든한 우방을 둬서 다행인.
  • profile
    동방의빛 2021.07.18 10:28
    우리나라는 ASML이 오히려 공장까지 짓는다고 했으니까요.
  • ?
    coms 2021.07.18 11:29
    2나노부터는 High-NA EUV 노광기가 필요한데 1대 가격이 무려 5000억원.. 지금 한창 개발중인데 삼성전자가 초도물량을 선입금하고 싹쓸어감

    TSMC가 3나노부터 삐걱되는게 GAA를 적용하고 싶어도 장비 매입에서 삼성이 싹쓸어가서 하고 싶어도 못함 그래서 어쩔 수 없이 기존 Finfet 공정 적용하는건데 Finfet은 이미 5나노부터 한계를 보임 TSMC나 삼성이나 5나노 공정은 둘다 실패작 발열 수율 자체가 망 TSMC는 애플에 납기 지연으로 보상금 지불하기도 함

    TSMC는 2나노부터 GAA를 적용하는데 하프노드, High-NA EUV를 동시에 진행해야되서 리스크가 클거임

    삼성은 3나노 GAA 수율잡으면 2나노까지는 안전빵으로 가는거..
  • ?
    RTXON 2021.07.18 11:54
    삼성 EUV 설레발쳤던거 생각하면 흠... GAA는 어떨지...
  • ?
    coms 2021.07.18 12:01
    삼성 파운드리는 7nm/5nm에서 리드를 못 잡아서 4nm/3nm/2nm 로드맵을 공격적으로 나가고 있음

    3nm 공정은 삼성이 개발이 빨랐고 라인 구축도 다 되어 있습니다.
    장비 늦게 들어오는건 EUV 장비가 아니라 ArF 장비. 즉 증설되는 14nm, 10nm 라인들입니다.

    3nm 공정으로 보자면 삼성은 이미 라인 구축 되어 있습니다.
    2019년 4분기에 웨이퍼 공개 되었고, 리크스 생산 시작한지 한참 지났습니다.
    7nm, 5nm가 실패해서 절치부심으로 신공정 갈구는 중입니다.
    삼성 3nm는 7nm 개발할때 같이 개발되서 TSMC보다 장비 투자도 빨랐어요.

    TSMC는 MBCFET 도입을 못하는데 삼성은 GAA를 하는 이유는 MBCFET 장비 제조업체들의 초도 생산량을 선매입 해 간겁니다.
    3nm부터 양자터널링으로 MBCFET 도입을 해야 하는데 TSMC가 장비 구매에 한발 늦어서 Finfet으로 가는겁니다.
    MBCFET 장비 도입을 못하니깐 AMD 같은 HPC 공정을 쓰는 칩 메이커는 TSMC의 3nm는 건너 뜁니다.
    Finfet은 4nm에서 멈추고, MBCFET 도입되는 2nm로 넘어갑니다.
    TSMC의 3nm는 모바일칩만 찍고 빠르게 넘어갈겁니다.

    3nm에서 나노 시트 도입해야 하고 Finfet으로 대응하기엔 한계치에 도달했다는 내용들은 이미 논문으로 다 나와 있는 내용

    삼성이 전략적 실패로 7nm/5nm를 대충 건너뛰고 3nm에서 이갈고 있으니깐 쫏아갈라고 하는거죠.

    5nm에 쓰는 EUV를 TSMC가 쓸어갔다고 TSMC가 이겼네 어쩌네 하는데, 삼성은 3nm와 2nm 레이스를 준비하고 있다는거임.
    삼성은 7nm, 5nm 생산 캐파 안 키웠음 3nm와 2nm에서 반격하겠다는거임

    TSMC의 3nm 공정이 기술적인 문제로 계속 지연되는게 수율 문제였습니다.
    5nm에서 첨단기술 다 때려박아도 문제 터지는중

    7nm부터는 누설전류로 Multi Fin 써야 하는데 5nm부터는 밀도가 안나와서 Single Fin 쓰다가 양쪽 모두 수율 문제 터진거죠.
    당연하게도 Single Fin 높이도 최대로 다 높혀 놓을걸테구요.

    클럭도 제대로 못 올리고, 절대 수율도 낮고....
    5nm에서 삼성/TSMC 모두 클럭을 못 올리고 있어요.
    Finfet에서 성능 올리려면 Fin 갯수를 올려야 하는데 셀 밀도가 낮아지니 결국 성능/밀도를 잡으려면 나노시트 (MBCFET/GAA) 를 써야 됩니다.
  • ?
    dmy01 2021.07.18 21:39
    정보 감사합니다
    tsmc 가 장비 싹쓸했다던데 3nm는 상관 없나보네요
  • ?
    coms 2021.07.18 12:07
    Single Fin 쓰고 클럭스팟을 확낮추던가 Multi Fin 써서 밀도를 낮추고 클럭을 더 올려야죠.
    밀도 때문에 모바일칩은 Single Fin 쓸건데 클럭 올리면 누설전류 폭발하죠.

    5nm 공정에서 스팟클럭 2GHz 언더로 인텔, 애플, 삼성, 퀄컴칩들이 힘을 못 쓰는게 이런 이유 때문
    3nm에서 Finfet은 더 이상 기대하지 못합니다.

    해결 방법은 있는데 트리플 Fin을 쓰고 Cell 크기를 키워서 밀도를 낮춰야죠.
    그럴거면 그냥 나노시트로 가야죠.

    삼성은 GAA의 1세대인 나노와이어 도입, Finfet과 제조 호환성을 상당 부분 맞추면서 Triple-Fin Stack 구조로 누설전류 문제를 해결하면서 고밀도화.

    TSMC는 고밀도로 가지만 싱글Fin으로 클럭스팟이 낮아서 마이그레이션 수준으로 진행.

    TSMC는 신기술 도입까지 존버 하겠다는거지요

    TSMC의 3nm를 쓰면 2GHz 미만을 스팟클럭으로 쓰는 세팅, 삼성 3nm GAA를 쓰면 2.5GHz 미만을 스팟클럭으로 쓰는 세팅으로 동일칩을 놓고 비교하자면 클럭 헤드룸이 더 좋습니다.

    클럭에 대한 수율 증가는 당연한거고, 고성능칩을 제조하기도 편한거지요.

    스팟클럭 2GHz 칩을 뽑는다면 삼성 3nm GAA쪽 칩수율이 더 좋다는거죠.

    삼성은 7nm EUV에서 고밀도 메탈 레이어 수율 문제로 고생을 해서 5nm에서는 보수적으로 접근했다고 합니다.
    여기에 펠리클 끼얻으면 수율이 더 올라가는 구조라서 TSMC보다 칩 단가가 저렴하다고.

    삼성 3nm에 GAA 세계 최초 도입이잖아요?
    이게 TSMC의 3nm보다 고성능이에요.
    5nm에서 열세였던걸 한방에 따라 잡습니다.
    GAA가 1세대이고, 그 후속으로 2세대, 3세대가 있습니다.
    공정 바뀔때마다 이걸 연속적으로 집어 넣을겁니다.
    삼성이 전략 실패로 7nm에서 망하고, 5nm는 성능으로 밀리니깐 이 갈고 3nm 이후를 준비하고 있습니다.

    3D 패키징은 TSV와 메모리가 핵심입니다.
    이 메모리를 삼성과 하이닉스에서만 공급 받을 수 있어서 TSMC에 위탁하던 기업들이 3D 패키징 때문에 삼성과 차세대 공정 논의 진행하고 있습니다.
    그래서 TSMC도 자체적으로 메모리 제조해서 3D 패키징에 연동하겠다고 대만 D램 기업들 기술 협력 하고 있습니다.

    칩 단가를 낮출 EUV 주변 소재들 자립을 위해서 삼성은 5년에 걸쳐서 준비 중이였어요.
    ASML의 멱살을 잡고 끌고 온게 삼성이였고, EUV 테스트 라인이 삼성에 있었습니다.
    이걸 그대로 양산 라인으로 바꾼게 7nm EUV로 퀄컴칩 리스크 생산과 엑시노스 양산 라인이 되었죠.
    TSMC는 EUV를 급격하게 도입해서 EUV 소재 자립을 못 하고 있는 상태입니다.
    소재 자립을 한다는건 단가를 더 낮추고 수율을 올릴 수 있다는겁니다.

    이런 배경과 맞물려서 삼성이 파운드리 규모 급격하게 늘리고 있습니다.
    치킨 게임 하겠다는 얘기입니다.

    가격으로 붙이면 대다수가 넘어갑니다.
    EUV 공정 적용된 칩은 너무 비싸요.
    쫀심과 기술 유출 따위로 저울질할 칩 단가가 아닙니다.

    삼성은 이미 작년에 기흥 파운드리에서 3nm 라인까지 다 구축되어 있음
    2019년 4분기에 리스크 양산했는데, TSMC는 작년 하반기에 리스크 생산을 위한 장비 도입 중이었음

    Finfet과 GAA같은 MBCFET는 기술 차이 크게 안 납니다.
    EDA와 디자인룰이 다른건데 삼성은 오래전에 검증 다 끝내고 EDA를 작년 1월에 협력사에 배포 했습니다.

    삼성은 EUV 준비하면서 같이 개발한거고, TSMC는 시간에 쫏겨서 Finfet으로 가구요.
    특히 삼성 3nm는 공식 발표 자료에 따르면 전성비, 게인 마진 전체가 기대 이상입니다.

    삼성 2nm는 하드노드라서 진작에 개발하고 있는 중이고, 1nm 개발 들어갔어요.
    TSMC가 MBCFET를 도입하는거보단 하드노드 전환이 기술적인 난이도가 낮죠.

    수율은 TSMC와 삼성은 비슷

    대만 디지타임즈가 위기네 어쩌네 하는데 둘 다 똑같습니다.

    TSMC를 캐파로 밀어붙히고, 삼성은 수율로 밀어붙히는거지요.

    삼성반도체가 IBM, AMD와 SOI 공정 개발하던 선도 기업이라는걸 잊으면 안되요.
    ASML 멱살 잡고 EUV 개발을 끌고 온게 삼성반도체입니다.
    EUV 논문 90%가 ASML과 삼성에서 나왔습니다.

    원래 수율은 전통적으로 삼성반도체가 업계 최고였습니다.
    인텔이 미래의 위협이라고 할 정도로.......

    같은 세대라면 단가는 삼성이 훨 싸구요.
    최신 공정뿐만이 아니라 레가시 공정 전체 가격이 30% 더 저렴하고, 메탈마스크도 개별 제작이 아니라 단체 제작이라 10nm 까지는 거의 절반에 가까운 가격대죠.
    많이 생산한다고 수율을 잡을 수 있는게 아니라, 수율은 연구실에서.......
    수율은 둘 다 비슷합니다.

    먼저 개발한쪽이 유리한거지요.

    후공정에서 TSMC에서 한방 먹은건데, 그것도 1년만에 바로 따라 잡고 있구요.
    설계까지 지원하니깐 구글이나 닌텐토 같은 기업들이 의뢰하는거구요.
  • ?
    coms 2021.07.18 12:09
    TSMC는 삼성보다 미세공정 도입이 느렸던 회사입니다.
    애플 이전에는 한세대 늦게 도입해서 양산 우선으로 안전빵으로 가던 회사이구요.
    그에 비해 삼성 반도체는 SOI 컨소시엄으로 인텔과 미세공정 경쟁하던 회사입니다.
    미세공정 초격차에 대한 접근법이 달라요.
    TSMC가 치고 나간게 7nm부터인거지요.
    기술 선도 기업으로 간게 몇년 안 되었습니다.

    TSMC를 최첨단 공정 도입의 첨병이거나 수율 올리는게 기술력이 있는 회사쯤으로 생각하는 분들이 많은거 같네요.
    꼴랑 3년 정도 선도하기 시작한거고 7nm에서 인텔을 능가하기 시작한 수준입니다.

    인텔 - TSMC/삼성으로 엎지락뒤치락 하다가 7nm 도입으로 TSMC-삼성-인텔 순서로 가고, 3nm에서 삼성-TSMC-인텔 순서로 가죠.

    삼성이 실체 없는 공정을 개발한게 아니라 7nm는 일본 소재 보복 및 EUV의 불확실성 때문에 수주를 실패한거지요.
    TSMC가 그 부분을 파고 들어서 영업을 잘 했죠.

    TSMC의 7nm는 ArF의 한계까지 들어간거라 수율이 생각보다 늦게 안정화 되었습니다.
    물량으로 치고 들어간거죠.

    3D 패키징의 TSV 관련 기술은 삼성이 10년전부터 했던 기술이라 이쪽은 우세해요.
    장비 업체들도 삼성 로드맵 중심으로 개발했었고.
    인텔이나 AMD나 3D 패키징 도입 중이라...

    종합 반도체 제작 기술은 삼성이 먼치킨입니다.
    수년내에 SOC에 플래쉬메모리나 D램 설계 기술까지 포함될건데 TSMC가 후발주자가 되는거죠.
    삼성이 괜히 2030에 1위 하겠다는 포부를 공개적으로 얘기한 이유가 있습니다.
    삼성이 도쿄 선언하고 그 플랜 그대로 지켰잖아요.

    그리고 반도체 장비 R&D 센터, 아시아 지사들 죄다 삼성전자 근처에 모여 있습니다.
    일본 기업들도 R&D와 생산거점을 한국으로 옮겨오고 있거든요.

    인텔 22nm는 기술 초격차를 인지시킨 역대급 공정이에요.
    미세공정을 도입한 시기도 인텔이 빠를뿐더러 적용된 기술 수준도 넘사벽입니다.
    Finfet 없이 플래너 게이트 쓴 20nm 공정을 쓴 대다수의 칩 회사들이 빅엿을 먹고 급하게 건너 뛴 공정입니다.
    지금 파운드리에서 20nm는 없습니다.
    그 역사가 TSMC의 3nm에서 펼쳐질꺼란 예상입니다.

    애플은 TSMC에 자본 투자한 기업이라 삼성으로 안 갑니다.
    그냥 독점 계약이 아니라 시설 투자하고 1티어 캐파 보장 받는겁니다.
    애플 수주 해야 기술적으로 우위에 있다는 생각은 하지 마셔야.....
    애플은 반삼성 생태계를 조성하고 있는 기업이라 삼성 비중 최대한 줄일거에요.

    파운드리는 경쟁을 안 시키킵니다.
    TSMC에 애플 자금 많이 들어가 있습니다.
    애플은 삼성의 세력 확대를 싫어하는 회사입니다.
    안드로이드 진영을 그만큼 끌어 올린 삼성은 분명한 위협이거든요.


    삼성반도체가 무서운게 메모리라는 압도적인 캐시카우 시장을 바탕으로 파운드리 사업을 확장한거구요.
    130조원의 현금을 가졌는데, 메모리 사업만 1년 평균 60조원의 매출을 가진 탑 5에 들어가는 공룡 기업입니다.
    이 자본과 규모를 바탕으로 파운드리 규모가 엄청난게 평택에 있는 고덕 파운드리가 세계 최대 규모인데 저 시설에서 파운드리 사업을 하겠다는겁니다.

    TSMC 기업평가가 삼성보다 높다 어쩐다 해도 반도체 회사들 현금빨은 애플 다음이 삼성입니다.
    자본과 규모로 치킨게임 하면 파운드리가 주력인 TSMC는 수익성에 빨간불이 켜지죠.

    1위를 하겠다는건 치킨게임을 하겠다는거고, 이미 동일 공정이면 삼성이 30% 저렴하고, 메탈마스크는 EUV가 아니면 최대 절반 가격으로 제작 가능합니다.
    중/소 디자인하우스가 삼성 파운드리를 고려할 수 밖에 없어요.
    이건 생태계를 확보하기 위한 가격인거죠.
    전세계 반도체 회사들이 삼성 파운드리에 1번은 거래를 해 볼 정도로 익숙해질때쯤 점유율이 바뀐다는겁니다.
    한번 해 보는게 어렵지 해 보면 옮기는건 어렵지 않으니까요.



    삼성의 3nm는 MBCFET을 적용하니 수율에서 불리하고, 추가 공정에 대한 가격 상승이 있습니다.
    대신에 20nm 시절에 교훈을 얻은 누설전류에 의한 칩 성능 저하는 없지요.

    TSMC의 3nm는 Finfet을 그대로 고수해서 기존 시설로 양산하니깐 원가 절감의 잇점은 있습니다.
    다만 누설전류 문제로 핫스팟이 좁아지고, 저전력 칩, 스몰칩 이외에는 누설 전류 문제가 발생합니다.
    AMD는 TSMC의 3nm를 도입할 생각이 없습니다.
    TSMC의 4nm 공정까지가 HPC 노드의 Finfet 성능 한계치입니다.
    X86은 TSMC의 2nm, 즉 MBCFET 도입이 되는 공정으로 넘어갑니다.

    삼성은 EUV에서 경험했듯이 수율이 뒷받침 되어주지 않으면 성공을 못한다고 보고 공정 성숙도를 잔뜩 올리잖아요.
    삼성 3nm GAA 샘플 웨이어를 2019년 4분기에 공식 공개했습니다.
    양산은 2022년 하반기에요. 2년을 메달려서 GAA 공정 성숙도를 올리고 수율 맞추겠다는겁니다.

    TSMC의 앞날이 장미빛이 아닙니다.
    3nm는 모바일칩 찍으면 땡입니다.
    X86 및 대다수의 칩 메이커들이 4nm에 머무를건데요.

    2nm는 더 힘듭니다.
    MBCFET과 High-NA EUV를 동시에 도입해야 되요.
    삼성은 3nm에 GAA 도입, 2nm에 High-NA EUV 도입인데, High-NA EUV 조차도 삼성이 선매입 한 상태입니다.
    TSMC는 삼성 대비 신공정 장비 매입이 늦어지는 상태로 차세대 노드를 경쟁해야 됩니다.
    삼성은 고객사가 한정되어 있어서 30만장 캐파로 움직이니깐 부족한 장비들로도 차세대 노드에 적용하는건데요.
    TSMC는 100만장 캐파라서 장비 양산이나 기술 성숙도가 어느 정도 올라오는 상태에서만 투자가 가능한거지요.

    3nm에서는 밀도 같은건 전혀 중요한게 아닙니다.
    수율과 누설전류입니다.
    클럭 스팟이 넓은 고성능 칩을 제조할 수 있는지, 웨이퍼당 가격은 어떻게 되는지 중요한거에요.
  • ?
    RTXON 2021.07.18 12:24
    소중한 댓글 잘 읽었습니다.
    투자한 만큼 GAA 성공으로 보답되었으면...
  • ?
    coms 2021.07.18 12:14
    TSMC나 삼성이나 5nm 공정은 Finfet 한계치에 도달했다고 봐야 됩니다.

    공정상 물리적인 한계가 있는데 설계 최적화를 못한거죠.

    엑시노스 2100과 스냅드래곤 888의 경우 빅코어인 ARM X1이 모바일 용도로 나온게 아니라 넷북용으로 나온건데 그걸 공정 미세화로 돌파해볼려고 했던 것이 큰 패착이고, 미들코어 전성비가 개판난건 5nm 공정이 싱글Fin 구조라서 스팟클럭이 2GHz 수준인데 그걸 상회해서 올라다보니 전성비가 망한거죠.


    ARM의 리틀코어는 성능 개선이 시급한 상황이구요.


    TSMC도 5nm는 클럭 문제가 있는데 애플이 공정 특성에 잘 맞춰서 AP 설계를 커버한거죠.


    칩 밀도가 높으면 GPU, CPU의 SIMD, 캐쉬 메모리 설계에 유리하니깐 애플은 5nm 공정 한계점에 맞춘거구요.
    애플도 고클럭으로 쓰면 전성비 망가지는건 똑같습니다.


    수율이 저조하다는건 스팟클럭보다 클럭이 높은 세팅이라 클럭 정규화가 안되서 편차가 심한거죠.
    엑시노스나 스냅드래곤은 5nm 공정의 한계에 맞춘 설계를 못한거죠.


    최적화보단 공정을 빠르게 바꿔서 대응하는 방법이 가장 빠르다고 판단했겠죠.


    삼성 5LPE가 TSMC의 N5와 동급 공정이라고 내 놓은 이유가 있습니다.


    전력 소비, 클럭 향상은 비슷하게 경쟁할 수 있단 얘기죠.


    스케링일 펙터를 완만하게 축소하더라도 그 외의 파라미터는 비슷하게 설정할 수 있습니다.


    어차피 칩 설계 면적의 절반을 차지하는 SRAM, 메모리 컨트롤러가 고밀도 설계가 안되면 스케일링 펙터가 아무리 좋아도 칩 크기를 대폭 줄일수가 없어요.


    TSMC N5와 삼성 5LPE 같은 경우 퀄컴에서 얘기하는 AP의 성능 차이는 5% 차이 수준입니다.
    칩 설계 면적은 7~10% 차이입니다.


    5% 수준의 전성비 차이 수준이라면 그냥 웨이퍼 싼 삼성에서 찍겠다는겁니다.
    전성비 5% 수준이면 수율 좋고 나쁜 AP의 평균값 이내거든요.
    삼성도 바보라서 5LPE에 1.3의 스케일링 펙터를 제시한게 아닙니다.
    수율 때문에 그런거죠.



    N5는 4T 셀, 5LPE는 6T/7.5T 셀입니다.
    삼성이 공정을 계속 축소해서 4T 셀을 쓰면 밀도는 똑같이 따라갈 수 있지만 수율 문제가 따라오죠.
    4T 셀 쓰면 고클럭에서 발열이 심해져서 전성비가 망가지니깐 밀도 포기하고 클럭스팟을 넓힌거죠.
    TSMC/삼성의 셀은 4T, 6,5T, 9T에서 큰 차이가 크게 없어요.
    삼성도 4T 셀 쓰면 같은 밀도를 가집니다.


    밀도를 올릴거면 칩 가격이 싸져야 하는데 TSMC의 N5는 수율이 낮아서 싸지도 않습니다.
    삼성과 TSMC의 5nm는 칩 개당 양품 기준 단가 20% 가까이 차이 납니다.
    TSMC는 웨이퍼로 금액을 책정, 삼성은 양품 칩 기준으로 가격을 책정합니다.
  • ?
    RuBisCO 2021.07.18 13:20
    사족인데 목표로 하는 세그먼트와 무관하게 X1은 그냥 구립니다. A76과 비교했을때 코어의 리소스 규모가 엄청나게 불어나면서 소비전력이 치솟았는데 정작 실제 환경에서 사이클당 처리능력이 자원이 투입된 것에 비해서 상승폭이 미미했고, 그걸 클럭을 더 잡아늘려서 커버하고 있으니 개판이되는거지 목표로 하는 세그먼트가 문제가 아닙니다.
  • ?
    coms 2021.07.18 12:16
    TMSC가 수율 문제로 고전하는게 공격적인 밀도를 목표로 한 4T셀을 쓰기 때문이에요.
    뿔딱칩들은 향후 아이패드 같은 저가 제품에 클럭 낮춰서 쓰겠죠.
    수율 불량 제품들은 저가형 아이패드나 아이팟 및 애플 주변 기기에 재활용 되어 왔으니까요.

    반도체를 제대로 이해 못한 사람들이 밀도 얘기를 하는겁니다.
    그게 기술력의 척도인 것처럼 ㅠㅜ

    엔비디아 GPU와 AMD GPU에 대한 비교가 현재 미세공정과 칩 설계의 단편을 보여준다고 봅니다.

    엔비디아는 삼성 8LPP 공정을 사용하죠.
    AMD는 TSMC의 N7 HPC 공정을 씁니다.
    1세대 앞선 미세공정으로 성능/전성비조차 역전하지 못했습니다.
    아키텍처 차이도 있다고 하지만 칩 설계 전략의 차이라고 봐야죠.

    캐쉬 메모리와 메모리컨트롤러는 밀도가 높아져도 작게 만들수가 없습니다.
    1.7배 밀도 향상에서 캐쉬 메모리는 1.2배, 메모리컨트롤러는 1.1배 향상에 그칠 뿐입니다.
    칩 사이즈는 25% 작아지죠.
    GPU에서 고밀도 설계는 ALU, SIMD 유닛들이죠.

    엔비디아는 ALU, SIMD를 최대로 넣고 캐쉬와 메모리컨트롤러 면적을 억제합니다.
    AMD는 아키텍처 열세로 대역폭을 올리기 캐쉬 면적을 대폭 키우죠.
    1세대 앞선 공정으로도 거대한 캐쉬로 설계 밀도가 낮아지는거에요.
    그래서 전성비, 성능에서 밀리게 되는거지요.
    밀도가 높아도 아키텍처가 열세이거나 설계 최적화가 안되면 최종적인 칩 밀도는 낮아집니다.

    엔비디아 GPU가 AMD GPU보다 1.2배 칩 사이즈가 커요.
    수율이 안 받혀주면 빅칩 생산을 해도 단가가 안 맞습니다.
    엔비디이가 AMD보다 1세대 뒤떨어지는 공정을 쓰면서도 AMD보다 높은 경쟁력을 낼 수 있었던 이유죠.
    8LPP의 높은 수율, 상대적으로 낮은 밀도에서도 최적화하는 칩 설계 능력입니다.
    N7 HPC가 한세대 앞선 공정이지만 낮은 수율로 인해 3GHz 이상의 클럭을 쉽게 가지지 못하는 반면, 8LPP가 1세대 떨어지는 공정이라도 수율이 높아서 3GHz 클럭을 쉽게 뽑아냅니다.
    칩 설계 능력은 엔비디아의 능력인거고, 파운드리는 결국 수율이 관건입니다.
    소비전력 10% 향상을 제외하면 클럭, 성능, 가격 등등 AMD가 가진 장점이 없어요.

    TSMC와 삼성의 관점도 이런거에요.
    수율만 확보되면 설계 최적화는 칩 메이커에서 하는겁니다.
    모바일 AP의 면적 절반이 캐쉬와 컨트롤러입니다.
    밀도가 올라가도 칩이 대폭 작아지지 않는다는겁니다.
    같은 설계라면 1.7배 밀도가 올라갈때 면적이 25% 줄어들면, 밀도가 조금 더 낮다고 해서 칩이 확 커지진 않아요.


    그렇다면 밀도를 조금 낮추고 수율을 올리는 방식으로 접근하면? 수율은 언제나 옳다.
    이게 삼성과 TSMC의 미세공정을 보는 시각입니다.

    유튜브 백날 보시는 분들 거기서 이런 얘기를 하는지 찾아보세요.
    공정 밀도 얘기하는 유튜브 따위에서 메탈 트랙 얘기를 하는지, Fin 갯수 얘기를 하는지. 그런거 모르는겁니다.
    밀도가 높을수록 좋은 공정인줄 안다는거죠.


    N5와 5LPE를 비교하기 위해서 자료를 찾아보니 TSMC N5의 오피셜 성능 너프 먹었네요.

    초기 발표 당시 N5는 N7 대비 전성비 30%, 클럭 15%, 면적감소 38% (1.83배) 였습니다.
    최근에 발표한 N5는 전성비 21%, 클럭 13%, 면적감소 38% (1.83배) 로 일부 스펙 하향이네요.

    삼성 5LPE는 7LPP 대비 전성비 20%, 클럭 10%, 면적감소 25% (1.3배) 입니다.

    N7과 7LPP가 비슷한 성능을 보여줬기 때문에 N5와 5LPE를 비교하면 N5가 전성비 +1%, 클럭 +3%, 면적 +13% 가 됩니다.

    면적도 13%도 실제 AP 설계에 들어가면 평균 5~8% 수준으로 좁혀집니다.

    TSMC의 5nm의 성능이 삼성 5nm 성능을 1세대 이상 능가한다는 얘기는 거짓말인거죠.


    동급 세대의 미세 공정이 되는거고, 삼성은 성능 향상보다는 수율에 몰빵했다는 해답이 나옵니다.
  • ?
    참치대뱃살 2021.07.18 13:17
    GA10X는 8LPP 가 아닙니다....
    틀린 부분이 좀 있으시네요.
  • ?
    드수꾸두벡벡 2021.07.18 13:28
    현업자입니다.
    위에 댓 길게 써두신거는 인상적인데... 틀린 내용이 좀 많네요.
  • ?
    thdnice 2021.07.18 13:47
    coms님도 현직자신거 같은데... 양사 모두 있었던 현직자 입장에서 너무 틀린부분이 많습니다. 특히 3나노 밀도 보시면 그냥 삼성의 마케팅용어 수준인데 너무 뇌피셜과 회로를 이렇다 하고 단정지으셔서 다른분들께 잘못된 정보를 전달할까 우려됩니다
  • ?
    포인트 팡팡! 2021.07.18 13:47
    thdnice님 축하합니다.
    팡팡!에 당첨되어 10포인트를 보너스로 받으셨습니다.

작성된지 4주일이 지난 글에는 새 코멘트를 달 수 없습니다.


  1. 바이든 행정부도 asml의 euv장비 수출을 막고 있다는 소식입니다

      https://n.news.naver.com/mnews/article/001/0012531584?sid=105   duv장비도 최신제품은 제한한다는 소리가 있던데 진짜인가요? 아시는분~~
    Date2021.07.18 소식 Bycowper Reply17 Views2578 file
    Read More
  2. No Image

    UFS 카드만 활성화됐어도...

    아직까지 외부 저장장치는 대부분 MicroSD를 사용하는데, 이게 예전보단 성능이 좋아졌다곤 해도 여전히 내부 저장장치의 속도에는 못미치죠.   그렇다 보니 내부 저장장치 교체가 안되는 모바일 제품은 아예 큰 용량을 사도록 반 강요가 ...
    Date2021.07.17 일반 ByMoria Reply18 Views2149
    Read More
  3. 스팀덱의 저장장치는 2230 M.2 슬롯을 사용합니다.

      변경 전   변경 후     사람들의 질문이 많았는지 현재는 공식 홈페이지의 스펙시트에도 공개된 상태입니다. 일반 사용자 교체를 염두해둔 것이 아니라는 언급이 있는 것을 볼 때, 케이스를 완전히 분해해야 슬롯에 접근할 수 있을 것 ...
    Date2021.07.17 일반 ByWally Reply9 Views1608 file
    Read More
  4. ADATA XPG의 미소녀 캐릭터 메모리

    ADATA XPG의 미소녀 캐릭터 메모리입니다. 3600Mhz 8GB와 16GB 모듈, 레이턴시는 18-22-22입니다. 더 쓸 말이 없습니다...
    Date2021.07.17 소식 By낄낄 Reply11 Views1744 file
    Read More
  5. 젠3 3D 캐시 버전은 올해, 23년에는 3nm 젠5

    AMD는 젠3 아키텍처에 64MB SRAM을 적층한 3D V 캐시를 이미 공개한 바 있습니다. 이걸 사용한 프로세서의 코드네임은 Brecken Rdige, 올해 말에 나오며 15%의 게임 성능 향상이 기대됩니다. 2022년에는 5nm 젠4가 나옵니다. 코드네임 라...
    Date2021.07.17 소식 By낄낄 Reply7 Views1697 file
    Read More
  6. 시놀로지 HAS5300 시리즈, 262MB/s의 3.5인치 NAS용 하드디스크

    시놀로지 HAS5300 시리즈 NAS용 하드디스크입니다. 크기 3.5인치, SAS 12Gbps 인터페이스로 262MB/s의 속도를 냅니다. 회전 속도 7200rpm. 용량은 8/12/16TB, 버퍼는 각각 256/256/512MB입니다.
    Date2021.07.17 소식 By낄낄 Reply10 Views769 file
    Read More
  7. 라이젠 쓰레드리퍼 5000 Chagall은 최대 64코어

    라이젠 쓰레드리퍼 5000, 코드네임 Chagall은 올해 11월에 나옵니다. 8월 발표, 11월 출시일 가능성이 있습니다. 코어 수는 최대 64개입니다. 늘어나진 않네요. 또 4채널 DDR4-3200, PCIe 4.0 64개 등도 유지됩니다. 그리고 스레드리퍼 5...
    Date2021.07.17 소식 By낄낄 Reply3 Views826 file
    Read More
  8. 치아코인 채굴을 위한 PNY LX SSD

    치아코인 채굴을 위한 PNY LX SSD입니다. 파이슨 LifeXtension 기술로 54000TBW의 쓰기 내구성을 제공합니다. 많은 양의 지속적인 대역폭이 계속 오가는 치아코인의 플로팅 워크로드에 맞춰서 만들어졌다고 합니다. TBW 순차 읽기 순차 쓰...
    Date2021.07.17 소식 By낄낄 Reply1 Views607 file
    Read More
  9. No Image

    AMD, 3개의 구형 아키텍처 새 프로세서를 등록

    USB-IF에 AMD가 애슬론 골드 4100GE, 라이젠 5 4500, 라이젠 3 4100을 등록했습니다. 4000이라는 숫자로 보아하니 젠2 아키텍처일 가능성이 커 보입니다.
    Date2021.07.17 소식 By낄낄 Reply6 Views782
    Read More
  10. EVGA Z590 DARK 메인보드 출시

    EVGA Z590 DARK 메인보드가 출시됐습니다. 가격은 600달러입니다. 10층 기판, 21페이즈 전원부, PCie 4.0 그래픽카드와 M.2 슬롯, 12개의 USB 포트, 8개의 SATA 포트, 2.5Gbps 랜, WiFi 6/블루투스 5.1, 7.1채널 오디오. 이런 스펙보다도 ...
    Date2021.07.17 소식 By낄낄 Reply4 Views446 file
    Read More
  11. No Image

    NVIDIA가 제온/코어 X의 바이오스 취약점 발견

    NVIDIA의 제품 보안 팀이 인텔 제온 프로세서나 코어 X를 비롯한 하이엔드 데스크탑 플랫폼의 BIOS Shared SW Architecture (BSSA)에서 Design for Test (DFT) 기능에 권한 상승 취약점이 있다는 사실을 발견했습니다. BSSA DFT 기능이 안...
    Date2021.07.17 소식 By낄낄 Reply2 Views526
    Read More
  12. 시놀로지 보급형 나스 간단 사용기

    영상 스트리밍, 데이터 보관 등 간단히 쓸 용도로 1bay 나스를 구매했다가 fail.. https://gigglehd.com/gg/10434632   용도자체가 중요 데이터 보관용이 아니었던터라 별 생각없이 싼마이 제품으로 구매계획을 했었다가 댓글에 iptime 나...
    Date2021.07.16 일반 By두리 Reply24 Views1378 file
    Read More
  13. No Image

    [루머]인텔이 글로벌 파운드리를 인수하려한다는 루머가 나왔네요

    출처는 월스트리트 저널입니다 인수 규모는 30빌리언이라고 합니다 인텔이 파운드리 사업에 재진출을 하기 위한 발판을 만들기 위해서 인수를 하려는거 같네요    
    Date2021.07.16 소식 By탕탕치킨 Reply15 Views1978
    Read More
  14. Steam, Steam Deck 발표

        Steam은 Steam 게임 전용 휴대용 PC 내지는 게임기인 Steam Deck을 발표했습니다.   - AMD Zen 2 + RDNA2 기반 커스텀 APU (4C/8T 2.4~3.5GHz CPU, RDNA2 8 CUs 1~1.6GHz GPU) - 16GB LPDDR5 메모리 - 7인치 1280*800 16:10 400nit 6...
    Date2021.07.16 소식 By하스웰 Reply40 Views3111 file
    Read More
  15. No Image

    제온 W-3300 시리즈, 최고 38코어 구성

    인텔이 제온 W-3300 시리즈를 출시할 예정입니다. 라이젠 쓰리드리퍼와 경쟁하며 최고 38코어 76스레드 구성입니다. 아래는 각 모델의 캐시 용량입니다. W-3375 57MB W-3365 48MB W-3345 36MB W-3335 24MB W-3323 21MB
    Date2021.07.16 소식 By낄낄 Reply2 Views784
    Read More
목록
Board Pagination Prev 1 ... 676 677 678 679 680 681 682 683 684 685 ... 1923 Next
/ 1923

최근 코멘트 30개
조마루감자탕
17:15
야고
17:11
프로리뷰어
17:11
극한
17:09
leesoo
17:05
Kylver
17:02
Mr.10%
17:01
Mr.10%
17:01
leesoo
17:00
Kylver
17:00
leesoo
16:58
고자되기
16:54
leesoo
16:54
leesoo
16:50
cowper
16:40
책읽는달팽
16:39
개가죽
16:39
책읽는달팽
16:38
mnchild
16:37
투명드래곤
16:31
루니오스
16:30
자칭
16:29
파란화면
16:27
방황하는물고기
16:27
파란화면
16:25
FactCore
16:16
고자되기
16:01
포인트 팡팡!
15:58
스와마망
15:58
포인트 팡팡!
15:57

AMD
더함
MSI 코리아
한미마이크로닉스

공지사항        사이트 약관        개인정보취급방침       신고와 건의


기글하드웨어는 2006년 6월 28일에 개설된 컴퓨터, 하드웨어, 모바일, 스마트폰, 게임, 소프트웨어, 디지털 카메라 관련 뉴스와 정보, 사용기를 공유하는 커뮤니티 사이트입니다.
개인 정보 보호, 개인 및 단체의 권리 침해, 사이트 운영, 관리, 제휴와 광고 관련 문의는 이메일로 보내주세요. 관리자 이메일

sketchbook5, 스케치북5

sketchbook5, 스케치북5

나눔글꼴 설치 안내


이 PC에는 나눔글꼴이 설치되어 있지 않습니다.

이 사이트를 나눔글꼴로 보기 위해서는
나눔글꼴을 설치해야 합니다.

설치 취소